关键词不能为空

当前您在: 主页 > 英语 >

Fab 职位

作者:高考题库网
来源:https://www.bjmy2z.cn/gaokao
2021-02-27 21:59
tags:

-

2021年2月27日发(作者:whether是什么意思)


客户工程师(


CE






理工科的毕业生选择范围比较广:



计 算机、信息类的毕业生可以选择作


IT


,在

Fab


厂能够学到一流的


CIM


技 术,




工程类的毕业生做设备


(EE)


的居多,一般而言,做设备不是长久之计。可以选择做 几年设备之后转制程,


或者去做厂商(


vendor

< p>
),钱会比较多。当然,也有少数人一直做设备也发展得不错。比较不建议去做厂务。





材料、物理类的毕业 生做制程


(PE)


的比较多,如果遇到老板不错的话,制程倒是 可以常做的,挺两年,下


面有了小弟小妹就不用常常进


Fab< /p>


了。


如果做的不爽,


可以转


PIE


或者


TD



或者厂商也可以,


这个钱也比较多。



电子类的毕业生选择做制程整合,也就是


Integration



PIE


)得比较多,这个是在

< p>
Fab


里主导的部门,但


如果一开始没有经验的话 ,


容易被


PE


忽悠。

< br>所以如果没有经验就去做


PIE


的话,

< br>一定要跟着一个有经验的


PIE



不要管他是不是学历比你低。



所有硕士或者以上的毕业生, 尽量申请


TD


的职位,


TD

< p>
的职位比较少做杂七杂八的事情。但是在工作中需


要发挥主动性,不然会学 不到东西,也容易被


PIE


之类的人骂。



将来有兴趣去做封装、测试的人可以选择去做产品工程师(


PDE


)。



有兴趣向


Design


转型的人可以选择去做


PIE

< p>
或者


PDE






喜欢和客户打交道的人可以选择去 做客户工程师


CE



这个位置要和


PIE


搞好关系,


他们的

< br>Support


是关键。


有虐待别人倾向,喜欢看着他人 无助神情的人可以考虑去做


QE



QE


的弟兄把


PIE/PE/EE/TD/PDE

< br>之类的放挺简


直太容易了。



下面分部门简单介绍一下


Fab


的工种






Fab



PIE


要略微比

PE



EE


好一些,相对进


fab


的机会要少。





PIE


主 要的工作有很多,但总而言之是和产品密切相关的。


SMIC


上 海厂有


DRAM



Logic


两种截然不同的


产品,相应的


PIE

< p>
职责也有区别。





Memory PIE


(基本都在一厂)通常是分段管理,一般 是有人负责


Isolation(FOX/STI)


,有人负责


Capacitance


,有人负责


T ransistor


,有人负责后段


Interconnect


。总体分工比较明确,少数资深的工程师会


负责全段的制程。< /p>


Memory


的产品通常种类较少,总量较大,比较少有新的产品 。


SMIC



Memory

< p>
有堆栈型和沟


槽型两大类,都在一厂有量产。





Logic PIE


(两个厂都有)才是真正意义上的


Fab PIE


,一般来讲


Fab


要赚钱,

< br>Logic


的产品一定要起来。


Logic

< p>
PIE


通常会分不同的


Technology


来管理产品,


比如


0.35um


LG/MM/HS



0.18um < /p>


LG/MM/HS/SR



0.13um


LG/SR


等等。


Logic


的产品种类非常多,但每颗的总量一般不会太大,如果能够有


1000p cs/


月的量,那已经是比较大


的客户了。——如果遇到这样的 新客户,大家可以去买他的股票,一定可以赚钱。





Logic


PIE


的主要工作通常有


Maintain



NTO


两大类,


前者针对量产的大量产品的良率提高,


缺陷分析等。


后者主要是新产品的开发和量产。


具体的工作么,



NTO


来讲 ,



Setup


process


flow,


pirun,


fab


out


report,


defect reduction, yield analysis, customer meeting, ... ...


等等。





相比较而言,进

< br>fab


倒不是最主要的,分析数据和写报告的工作为主。





通常讲

Fab


的工作环境比较恶劣,那就是指


Module



MFG


。因为


PI E


可以比较少进


Fab


,所以


PIE


虽然也


会比较忙,但是接触到辐射、化学 药品的机会要少很多。





一般本科毕业生如果去


MFG


的话会做线上的< /p>


Super



带领


Leader


和一群小妹干活。


除非你从此不想和技术


打交道,否则不要去


MFG


。只有想将来做管 理的人或者还会有些兴趣,因为各个不同区域的


MFG


都是可以 互换


的,甚至不同产业的制造管理都是一样的。


Fab



MFG


Supper


在封装、测试厂,在


TFT/LCD


厂,在所有的生产


制造型企业都可以找到相关合适的位置。和人打交道,这是管理的核心,而在

< br>MFG


,最重要的就是和人打交道。


你会和


EE


吵架,



PE


吵架,



PIE


吵架,



Q


的人闻讯,


可以修理


TD


的弟兄,


不过比较会惹 不起


PC



Production < /p>


Control


)。喜欢吵架的弟兄可能会乐此不疲,因为


MFG


和别人吵架基本不会吃亏。






Fab


里有三个“第一”:安全第一,客户第一,


MFG


第一。所以只要和安全以及客户没有关系,


MFG



是最大的,基本可以横着走。


PIE


能 够和


MFG


抗争的唯一优势,也就是他们可以拿客户来压


MFG



MFG


在奖 金等


方面说话的声音比较大,一般而言,奖金优先发放给


MFG


,因为他们最辛苦。


MFG



Super


需要倒班,做二休


二,


12


小时


12


小时的轮,在 休息的时候还会被拖过来学习、写报告什么的,所以平均下来一周工作的时间至


少在


50


小时以上。上白班的还好,但是上晚班的生物钟会被弄的比较乱 。


MFG


做常日的


Super


会好一些。不建


议硕士以及以上学历的弟兄去


M FG




Module


的工程师主要分成两大类:制程(工艺)和设备。也就是所谓


PE< /p>



EE


。基本上无论哪个


Module


都会有这样的两类工程师。





设备工程师主要负责的是机台的状 况,


他们要保持机台始终处于比较良好的


Status



从而提高机台的利用


率。

TSMC


在最忙的时候曾经把机台的利用率提到到了


110 %


以上,这样就需要缩短机台设计的


PM


时间,缩短机


台的


Monitor


时 间,减小


Down


机的几率。这样设备工程师的压力就很大。设 备工程师的


On Call


通常就是来


自于此。如果大家都是混得比较资深的


EE


,那由于晚上都有设 备值班,小问题都能够被处理掉,而大问题也没


法处理,可以第二天白天来做。但如果是 一群没有足够经验的


EE


,那么每个人都只能专精几种机台,结 果就是


遇到不熟悉的机台出问题,就只好


Call


人了。





EE



Fab


中待的时间要 比


PE


长,有很多


routine


的工作,比如


PM



EE


的问题相对简单,妈的,机台出问


题了我就修呗,修不好 我就


Call Vendor


呗。你制造部不爽那你自己来修。





EE


有很 多机会接触有毒的气体、辐射和化学药品,也容易遭受侵害。


Fab

里很多耸人听闻传说中的主人公


都是


EE

< br>。记住一条


Fab


的铁律,任何不明身份的液体都可以默 认为是


HF


溶液,千万不要去胡乱摸。此外特别


的区域会有特别的注意事项,各自要注意。





EE


主要和


PE


以及厂务(


FAC


)的弟兄打交道 。不太会直接面对


PIE


这种


Modu le


比较讨厌的人物,也和


TD


的弟兄 没有什么大的过节。由于是机台的使用者,


Vendor


会常常 来和


EE


搞好关系,如果公司许可,可以有很

< br>多的饭局。酒量要锻炼。





EE


的工作很累,但并不很复杂,如果加入了一个不错的集体, 也可以过的很快活。



硕士以及以上学历的弟兄一般不会有机会 加入


EE


的行列,


工科的本科


/


大专毕业生可以绰绰有余的胜任


EE


的工作。


EE


做久了如果没有什么兴趣可以想办 法转去做


PE


,如果想赚钱,做


Ven dor


也不错。制程工程师,也


就是工艺工程师,


也就是


PE



他们主要负责


Fab


中各类工艺参数和程式的设定。


一个稳定的


Fab


必然需要大量


资深的


PE


在。


PE


的工作状况和


EE


不同,


他们将面对多 个部门的压力,


MFG



PIE



“压迫”


PE


最多 的两伙人。



Q


的弟兄也会让


PE


非常痛苦,时常窜出来搞乱的


TD


工程师常常会把


PE


搞得抓狂。然后在


PE



EE


之间存


在大量的灰色地带,这个事情究竟谁做?双方吵架的机会也是大把大把。


PE



Vendor

< p>
打交道的机会也比较多,无论是机台的


Vendor


还是


Material



Vendo r


。熟悉之后,跳槽出


去做


Vendo r



PE


也不少。通常而言,


EE


去做


Vendor


还是修机器,而


PE


常常会摇身一变成了

Sales


。许多出


去买


Mate rial



PE


现在富的流油(因为有 提成),尤其以卖


CMP


研磨液的弟兄为最好,卖靶材和光阻的 就差


了不少。





PE


也是需要在

Fab


里面常常待的,要


tuning

出好的程式也需要付出很大的代价。以


Diff


为例子,每 个


run


都要以小时计算,无论是


un iformity



Defect


、< /p>


Quality


都需要被考量,而且最后还要得到


PIE


电性数据



Suppo rt






Fab


里面出什么问题,


MFG


无法界定的时候,第一个通知的就是值班


PE






每当 一个新的制程在开发的时候,无论是


PIE


主导还是

< p>
TD


主导,


PE


都累得像 条狗一样,操劳过度,而且


还要陪着笑脸向制造部的


Leade r


借机台,一不小心就付出请客喝水的代价。只有少数资深的


P E


敢于把


PIE



TD


骂一顿然后罚他们自己去借机台的。许多

< p>
PRS


数据都需要切片,


PE

就只好在


FA Lab


陪伴切片的小妹度


过一个个不眠之夜——尤其以


ETCH


的弟兄最为痛苦 ,当年的


liaoduan


他们就切片切的昏天黑地。最后怒了 ,


就拿了把西瓜刀去找


PIE


进行黑社 会谈判,好不容易分了一部分活出去。





PE


要值夜班,

EE


值班的时候,如果机台没问题就可以眯段时间,反正半夜也没有老板在。但是机 台没有


问题不代表


Wafer


没有问题 ,实际上


Fab



Wafer


出的问题千奇百怪,匪夷所思。所以


PE


的值班 手机从来就


不会闲下来,在


Fab


中 最忙的值班电话通常是


CMP



YE< /p>



PHOTO


的值班手机。





什么叫做痛苦,当你 作为一个


PE



Fab


里接到


YE


的报警电话的时候就会有一种生不如死的感 觉。完了,


今天的值班一定没好日子过了??





PE


同样 面对


Fab


中的不良环境,所以要注意身体,在有了小弟小妹之 后就尽量少进


Fab





回头再讲讲


PIE

< p>


表面上看起来,


PIE


要比


PE/EE


都快活,


他们在


Fab


里工作的绝对时间要远少于


PE



EE



对于


PE


来讲,


PIE


简 直就是最可恶的人之一,成天忽发奇想,给出奇奇怪怪的各项指令,然后还不停的来骚扰


自己,要这样做,要那样做,简直像一大堆苍蝇。而且自己还不能像对待


TD

< p>
一样直截了当的


say no


。然后还

< p>
要看我的


SPC


,帮着


Q


这些人来


Review


自己,简直讨厌 透了。





所以,半夜货出了问题,不管大小,


Call


人!把

< p>
PIE


这群鸟人


Call


起来上个厕所。



Module


的工程 师只是负责一段的制程,



PIE


需要 对整个制程负责。


很自然的,


对于一个具体的制程来讲,


PIE


不可能比


PE


更为专业。但是


PIE


的位置决定了他必须要“以己之短,攻敌 之长”,和


PHOTO


讨论


Shot


Dependance


,和


ETCH< /p>


讨论


Loading Effect


,和


CMP


讨论


Down Force


,??结果导致所有的人都认为:妈的,


PIE

什么都不懂。


有一些聪明的


PIE


就和


PHOTO


工程师讲


DIFF




DIFF


工程 师讲


ETCH



< br>ETCH


的讲


CMP



??


结果就是所有的人都对他肃然起敬。





其实,


P IE



PE


有强烈的依存关系,


PIE


面对的人更加多,也更加杂,一个好的


PIE


会保护和自己合作的


PE


,而一 个差劲的


PIE


会在客户来发飚的时候把


PE


推出去当替死鬼。


PIE


需要< /p>


PE


为自己的实验准备程式,调


试机台, 提供意见??没有


PE



Suppor t



PIE


什么也不是。当年


SMIC


一厂著名的


Marvin



Jing



Cathy< /p>



姐开发


0.15um


Utrla


Low


Power < /p>


SRAM


的时候,


就是由于


IMP


的失误,


导致近一年的开发时间被浪费了。< /p>


Marvin



Jing



Cathy


每次提到这段血泪史无不扼腕叹息—— 当年付出的努力:无数次的夜班,电性分析,切片


FA



Split Run


,??通通付诸东流。





PIE


唯 一还算的上专业的,就是


WAT


电性,一个好的


PIE


需要对电性的结果非常敏感。





各位所有想要做,或者正要做


PIE


的朋友,请记住一条


PIE


的铁律:“永远不要乱改东西。”只要你记住


了这一句话,你就没有白花 时间看这段文字。






Lot


Owner


是件痛苦的事情,因为这一批货色的成败死活都会和你挂钩,如果是很重要的货,那么晚上



Call


几乎是一定的。有时候你还得半夜等货做 实验。说起做实验,就会涉及到


Run Card


,这是让制造 部帮


助你不按照正常流程来做实验的东东。开的


Run Car d


越多,制造部就会越恨你。当年的


Jamin



2


年半超过


1000



Run Card


成为

MFG


第一“公敌”。其实像


PIE


每个人的


Run Card


数目都不少,数百张都是很正常的。





PIE


会 直接面对客户。合理帮助你的客户,没准下一份轻松写意收入好的工作你可以在他们那里找到,而


且还可以回来


Review Fab




做的无聊了,


PIE


可以转


PDE/TD/CE

< br>等职位,也可以跳槽去做


Foundry Manager


,转行做


Design


德也有,


去< /p>


Vendor


那里的机会比较少。




关于


PDE




这是产品工程处的职位。


主要的工作是帮助


Fab


找到

< br>Yield


Loss


的主要方面,

帮助


Fab


提高


Yield




Report



PDE


最常做的事情。


PDE


需要有


EFA



PF A


的基本功底,要有对电性等各类数据高度的敏感。好的


PDE


需要



Integration


先锻炼过一段时间,熟悉


Flow



Fab


的环境。





Memory


PDE


相对好做,利用电性的方法,可以比较容易的定位到


Fail


Point


,再做


FA


分析。难点在找


到问题之后


PIE



Yield Improve


,但这个是以< /p>


PIE


为主去做的。






Log ic



PDE


比较困难,如果遇到不讲 理的


PIE


,压力就很大。


Logic


产品


Yield


上不去,原则上


PIE


只要一句:


Product

< p>
给点方向。就可以闪人了,痛苦的是


PDE


。好在 绝大多数


PIE


会负责到底,但这又带来一

个问题。就是


PDE


会被“架空”或者干脆成为了


PIE


切片的小弟。




PDE


一定要积极,同时要和


PIE


保持良好的关系,


PDE


< p>
PIE


只有紧密合作,才能把产品弄好。而且当


P DE


不得不面对


Module


工程师的 时候,记得找个


PIE


帮你,在


Fab


里,他说话比


PDE


管用。

< p>




PDE

< p>
要面对客户,记住最重要的一点:在没有和


PIE


确认之前,不要对客户乱说话。不然害惨


PIE


也害惨


PDE


自己。





如果将来不想做


PDE


了,可以转行做封装测试,转行做


Design


,或 者


Foundry


manager


, 或者


foundry



部的

< p>
CE



PIE



TD


等都可以。




一只秒表走天下的


IE




工业企划处的


IE

< br>可以算是


Foundry


中的一个异类,


做好了可以直取管理的精髓,


做不好,


就被无数的


PE/EE


甚至


MFG

看不起。小时候一定都读过华罗庚老先生的《统筹管理》一文(初中课本有记载),


IE


做的工作就和


这个有关系。





Fab


是 一个异常复杂的流水线,一片


Wafer


从下线到产出需要经过 数百道流程和近百种机台。生产步骤之


间的整合总体分成两大部分:

Process


方面和生产能力方面。前者由我们应明伟大的


PIE


负责,而后者就是


IE


的工作 。





比若 说,一个产品出来需要经过


ABC


三个过程,

< br>A


过程中使用到的机台平均日生产能力为


A1

< p>
,以此类推。


原则上讲


A1=B1=C1


才是最佳的组合。


IE


的工作之一就是要使


Fab


中各类机台的产能达到平衡,估算各类机台

< br>的需要程度,并提出组成方案。





这绝对不是一个简单的活。首先,


F ab


不会只跑几种产品,它的产品一直在改变;其次,机台标称的生产

< br>能力不见得和真正的生产能力


Match


;第三,各类机 台的


Down


机几率不一样,复机所需时间也不一样;最后,< /p>


出于


Fab


出货的需要,有些时候需要采 用一种特别的跑货方法,比如说月底拉货出线,比如说应客户要求的


Super Hot Run


等等,这些都会大大的干扰正常的流程。为了获得具体的第一手资料,许多


IE


就跑到


Fab


里 ,


看着


Wafer


的进出,用秒表来掐 算时间。这就是所谓的“一只秒表走天下”。




类似的还有


MC


,他们控制的


主要是


Fab


使用的


Materia l


,由于


Fab


厂跑的货一直在变,一 旦


MC


估测不好——后果很严重,


MF G


很生气。





还有


PC


, 他们的主要工作是按照


Fab


的产能状况来排货。





这些岗位都属于工程 师编制,他们的主要目的就是让


Fab


能够合理的近乎满负荷的 工作。




TD = Technology Develop





Fab


的技术开发部门,


通 常公司中的


R&D


低位和


Fab


中的


TD


类似。


之所 以叫


“技术发展部”


而不叫


“研


究和开发部”的原因大概是因为


Fab


搞得< /p>


Silicom


Process


如果是 研究的话,没有哪家公司愿意做,一般都是


在大学和研究所里面。——一家之言。




ASMC



他的


TD


实际上就是


SMIC



Integration



事实上,


SMIC



Integration


也可以


Cover

< p>
到一部分


TD


的工作。




QE


主要是在

< p>
Fab


里找茬的。由于


Fab

是一条非常复杂的流水线,除了


PIE


之外,必须有一个独 立的部门


对品质负责。这个部门就是


Q



Q


的主要工作就是杜绝


Fab


中一切不符合


rule


OI


的事件,如果还没有法则,



Q


就需要和


PIE/PE


来制定出合理 的法则。



由于经常会给


PE/PIE


制造困扰,所以


QE


常常会让人感觉很 讨厌,但是他们又惹不起


QE


。所以,


PIE/PE


对待


QE


都是以忽悠为主 ,此牙咧嘴为辅。





一个好的


QE


并不好做,在熟练掌握


QE


本身的技能之外,还需要对


process


有一定的了解——至少不能被


很容易的忽悠,而且还要掌握一定的灵活 尺度,不能把别人都害死。



做好


QE


的一个要诀就是原则性和灵活性并重。建议


QE


工程师至少要有一到两个比较铁杆的


PIE


弟兄,这< /p>


样别人要忽悠你就不太容易了。




IC


设计



20


个珍藏技术书籍下载



1



/~schmidt/PDF/




2



/~doc/pspdfs/


3




/files/





4



/Books/DownloadSites




5



/~baum/




6



/pub/




7



/~zmx//ebook/




8



/fft/




9



U.S.: /e-books




10



USA: /MindView/




11



United States (python only):




12



USA: /boat/




13



USA - Boise,ID: /thinkingin




14



USA: /ebooks/eckel/




15



USA: /prog/java/bruceeckel/




16



USA: /download/bruceeckel/




17



/books/m ... /text/Reading/




18



/files/




19



/books/m ... /text/Reading/




20



/books/PrenticeBooks/





CVD




晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室

< br>





答:由于微小的粒子就能引起电子组件与电路的缺陷





何谓半导体


?


答:半导体材料的电传特性介于良导体如金属


(


铜、铝,以 及钨等


)


和绝缘和橡胶、塑料与干木头之间。最


常用的半导体材料是硅及锗。


半导体最重要的性质之一就是能够藉由一种叫做掺 杂的步骤刻意加入某种杂质并


应用电场来控制其之导电性。





常用的半导体材料为



答:硅


(Si)


、锗


(Ge)


和砷化家


(AsGa)




何谓


VLSI


答:


VLSI(Very Large Scale Integration)


超大规模集成电路





在半导体工业中,作为绝缘层材料通常称什幺






答:介电质


(Dielectric)



薄膜区机台主要的功能为何





答:沉积介电质层及金属层



何谓


CVD(Chemical Vapor Dep.




答:

CVD


是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程


.




CVD


分那几种


?





答:


PE-CVD(


电浆增强型


)



Thermal- CVD(


热耦式


)




为什幺要用铝铜


(AlCu)


合金作导线





答:良好的导体仅次于铜





介电材料的作用为何





答:做为金属层之间的隔离





何谓


PMD(Pre-Metal Dielectric)



答:称为金属沉积前的介电质层, 其界于多晶硅与第一个金属层的介电质





何谓


IMD(Inter- Metal Dielectric)





答:金属层间介电质层。





何谓


USG?





答:未掺杂的硅玻璃


(Undoped Silicate Glass)




何谓


FSG?



答:掺杂氟的硅玻璃


(Fluorinated Silicate Glass)




何谓


BPSG?





答:掺杂硼磷的硅玻璃

< p>
(Borophosphosilicate glass)




何谓


TEOS?





答:< /p>


Tetraethoxysilane


用途为沉积二氧化硅





TEOS


在常温时是以何种形态存在


?



答:液体





二氧化硅其


K


值为


3.9


表示何义





< br>答:表示二氧化硅的介电质常数为真空的


3.9






氟在


CVD


的工艺上,有何应用






答:作 为清洁反应室


(Chamber)


用之化学气体





简述


Endpoint detector


之作用原理


.





答:


cl ean


制程时


,


利用生成物或反应物浓 度的变化


,


因其特定波长光线被


detector


侦测到强度变强或变


,


当超过某一设定强度时


,


即定义 制程结束而该点为


endpoint.




机台使用的管件材料主要有那些


?





答:有不锈钢制


(Stainless Steal),


黄铜制


(Brass),


塑胶制


(PVC),


特氟隆制


(Teflon)

< p>
四种


.




机器维修时要放置停机维修告示牌目的为何


?





答:告知所有的人勿操作机台,避免危险





机台维修至少两人配合,有何目的


?





答:帮忙拆卸重物,并随时警戒可能的意外发生





更换过任何气体管路上的零件之后,一定要做何动作


?





答:用氦气测漏机来做测漏





维修尚未降至室温之反应室


(Cha mber)


,应配带何种手套






答:石棉材质之防热手套并宜在< /p>


80


摄式度下始可动作





何为真空


(Vacuum)?


半导体业常用真空单位是什幺


?





答:< /p>


半导体业通常用


Torr


作为真空的压力 单位


,


一大气压相当


760Torr,


低于


760Torr


压力的环境称为真 空


.




真空


Pump


的作用?





答:降低反应室

< br>(Chamber)


内的气体密度和压力





何谓内部连锁


(Interlock)





答:机 台上


interlock


有些属于保护操作人员的安全


,


有些属于水电气等规格讯号


,


用以保护机台


.



机台设定许多


interlock


有何作用

?


答:机台上


interlock

主要避免人员操作错误及防止不相关人员动作


.




Wafer Scrubber


的功能为何


?





答:移除芯片表面的污染粒子





何谓蚀刻


(Etch)?





答:将 形成在晶圆表面上的薄膜全部,或特定处所去除至必要厚度的制程。





蚀刻种类


:





答:


(1)


干蚀刻


(2)


湿蚀刻





蚀刻对象依薄膜种类可分为


:





答:


poly,oxide, metal




半导体中一般金属导线材质为何


?




答:鵭线


(W)/

< br>铝线


(Al)/


铜线


(Cu)




何谓


dielectric


蚀刻


(


介电质 蚀刻


)?



答:


Oxide etch and nitride etch




半导体中一般介电质材质为何


?





答:氧化硅


/


氮化硅




何谓湿式蚀刻





答:利用液相的酸液或溶剂


;


将不要的薄膜去除



何谓电浆


Plasma?




答:电浆是物质的第四状态


.


带有正


,


负电荷及中性粒子之总和

< p>
;


其中包含电子


,


正离子


,


负离子


,


中 性分子


,


活性基及发散光子等


,


产生电浆的方法可使用高温或高电压


.




何谓干式蚀刻


?





答:利 用


plasma


将不要的薄膜去除





何谓


Under- etching(


蚀刻不足


)?





答:系指被蚀刻材料,在被蚀刻途 中停止造成应被去除的薄膜仍有残留





何谓


Over- etching(


过蚀刻


)





答:蚀刻过多造成底层被破坏





何谓


Etch rate(


蚀刻速率


)





答:单位时间内可去除的蚀刻材料厚度或深度





何谓


Se asoning(


陈化处理


)





答:


是在 蚀刻室的清净或更换零件后,


为要稳定制程条件,


使用仿真



dummy




晶圆进行数次的蚀刻循环。





Asher


的主要用途


:





答:光阻去除



Wet bench dryer


功用为何


?




答:将晶圆表面的水份去除





列举目前


Wet bench dry


方法


:








答:


(1) Spin Dryer (2) Marangoni dry (3) IPA Vapor Dry


何谓


Spin Dryer



答:利用离心力将晶圆表面的水份去除



何谓


Maragoni Dryer



答:利用表面张力将晶圆表面的水份去除



何谓


IPA Vapor Dryer




答:利用


IPA(


异丙醇


)


和水共溶原理将晶圆 表面的水份去除






Particle



,< /p>


使用何种测量仪器


?





答:


Tencor Surfscan




测蚀刻速率时

,


使用何者量测仪器


?





答:膜厚计


,


测量膜厚差值





何谓


AEI





答:


After Etching Inspection


蚀刻后的检查



AEI


目检


Wafer


须检查哪些项目


:




答:


(1)


正面颜色是否异常及刮伤


(2)


有无缺角及


Particle (3)


刻号是否正确


,




金属蚀刻机台转非金属蚀刻机台时应如何处理


?





答:清机防止金属污染问题





金属蚀刻机台


asher

< p>
的功用为何


?




答:去光阻及防止腐蚀





金属蚀刻后为何不可使用一般硫酸槽进行清洗


?





答:因为金属线会溶于硫酸中






机台是什幺用途


?





答:烘烤





Hot Plate


烘烤温度为何


?





答:


90~120



C




何种气体为


Poly ETCH


主要使用气体


?





答:


Cl2, HBr, HCl




用于


Al


金属蚀刻的主要气体为





答:


Cl2, BCl3




用于


W< /p>


金属蚀刻的主要气体为




答:


SF6 + Z9B




何种气体为


oxide vai/contact ETCH


主要使用气体


?





答:


C4F8, C5F8, C4F6



硫酸槽的化学成份为


:




答:


H2SO4/H2O2




AMP


槽的化学成份为


:





答:


NH4OH/H2O2/H2O




UV curing


是什幺用途


?




答:利用


UV


光对光阻进行预处理以加强光阻的强度






用于何种层次


?





答:金属层





何谓


EMO?





答:机台紧急开关





EMO


作用为何


?



答:当机台有危险发生之顾虑或已不可控制

< br>,


可紧急按下





湿式蚀刻门上贴有那些警示标示


?




答:


(1)


警告

.


内部有严重危险


.


严禁打开此门


(2)


机械手臂危险


.


严禁打开此门


(3)


化学药剂危险


.


严禁打开此门





遇化学溶液泄漏时应如何处置


?










答:严禁以手去测试漏出之液体


.


应以酸碱试纸测试


.


并寻找泄漏管路


.





IPA


槽着火时应如何处置


?





答:立即关闭


IPA


输送管路并以机台之灭火器灭火及通知紧急应变小组





BOE


槽之主成份为何


?





答:< /p>


HF(


氢氟酸


)



NH4F(


氟化铵


).




BOE


为那三个英文字缩写


?





答:


Buffered Oxide Etcher






有毒气体之阀柜


(VMB)


功用为何


?





答:当有毒气体外泄时可利用抽气装置抽走


,


并 防止有毒气体漏出





电浆的频率一般


13.56 MHz,


为何不用其它频率


?





答:为避免影响通讯品质


,


目前只开放特定频率


,


作为产生电浆之用


,



380~42 0KHz


,13.56MHz,2.54GHz


< p>



何谓


ESC(electrical static chuck)


答:利用静电吸附的原理


,



Wafer


固定在极板


(Substrate)




Asher


主要气体为





答:


O2




Asher


机台进行蚀刻最关键之参数为何


?


答:温度





简述


TURBO PUMP


原理




答: 利用涡轮原理


,


可将压力抽至


10-6 TORR




热交换器


(HEAT EXCHANGER)


之功用为何?






答:将热能经由介媒传输


,


以达到温度控制之目地


.



简述


BACKSIDE HELIUM COOLING


之原理?





答:藉由氦气之良好之热传导特性


,


能将芯片上之温度均匀化





ORIENTER


之用途为何?






答:搜寻


notch



,


使芯片进反应腔的位置 都固定


,


可追踪问题





简述


EP D


之功用





答:侦测蚀刻终点


;End point detector


利用波长侦测蚀刻终点





何谓


MFC







答:


mass flow controler


气体流量控制器


;


用于控制



反应气体的流量





GDP


为何


?




答:气体分配盘


(gas distribution plate)




GDP


有何作用?






答:均匀地将气体分布于芯片上方





何谓


isotropic etch?




< br>答:等向性蚀刻


;


侧壁侧向蚀刻的机率均等





何谓


anisotropic etch?





答:非 等向性蚀刻


;


侧壁侧向蚀刻的机率少





何谓


etch


选择比


?





答:不同材质之蚀刻率比值





何谓


AEI CD?





答:蚀 刻后特定图形尺寸之大小


,


特征尺寸


( Critical Dimension) 0 k. a: Y) X0 g




何谓


CD bias?



答:蚀刻


CD


减蚀刻前黄光


CD



简述何谓田口式实验计划法


? .



答:利用混合变因安排辅以统计归纳分析





何谓反射功率


?


< br>答:


蚀刻过程中


,


所施予之功率 并不会完全地被反应腔内接收端所接受


,


会有部份值反射掉


,


此反射之量


,



为反射功率





Load Lock


之功能为何


?


答:


Wafers


经由


loadl ock


后再进出反应腔


,


确保反应腔维 持在真空下不受粉尘及湿度的影响


.




厂务供气系统中何谓


Bulk Gas ?





答:


Bulk Gas


为大气中普遍存在之制程气体


,



N2, O2, Ar



.




厂务供气系统中何谓


Inert Gas?




答:


Inert Gas


为一些特殊无强烈毒性的气体


,



NH3, CF4, CHF3, SF6



.




厂务供气系统中何谓


Toxic Gas ?





答:


Toxic Gas


为具有强烈危害人体的毒性气体


,



SiH4, Cl2, BCl3



.




机台维修时


,


异常告示排及机台控制权 应如何处理


?





答:将告示牌切至异常且将机台控制权移至维修区以防有人误 动作





冷却器的冷却液为何功用


?





答:传导






Etch


之废气有经何种方式处理


?





答:利用水循环将废气溶解之后排放至废酸槽





何谓


RPM?





答:即


Remote Power Module,


系统总电源箱


.




火灾异常处理程序






答:


(1)


立即警告周围人员


. (2)


尝试


3


秒钟灭火


. (3)


按下

< p>
EMO


停止机台


. (4)


关闭


VMB Valve


并通


知厂务


. (5)


撤离


.




一氧化碳


(CO)


侦测器警报异常处理 程序





答:


(1)


警告周围人员


. (2)



Pause



,


暂止


Run



. (3)


立即关闭


VMB



,


并通知厂务


. (4)


进行


测漏


.




高压电击异常处理程序






答:


(1)


确认安全无虑下


,



EMO



(2)


确认受伤原因


(


误触电源


,


漏水等


)(3)


处理受伤人员





T/C (


传送


Transfer Chamber)


之功能为何


?





答:提供一个真空环境


,


以利机器手臂在反应腔与晶舟间传送


Wafer,


节省时间


.




机台


PM


时 需佩带面具否






答:是


,


防 毒面具





机台停滞时间过久


run


货前需做何动作





答:


Seasoning(


陈化处理


)




何谓日常测机






答:机台日常检点项目


,


以确认机台状况正常





何谓


WAC (Waferless Auto Clean)





答:无


wafer


自动干蚀刻清机





何谓


Dry Clean





答:干蚀刻清机





日常测机量测


etch rate


之目的何在


?





答:因为要蚀刻到多少厚度的


film,


其中一个重要参数就是蚀刻率





操作酸碱溶液时

< br>,


应如何做好安全措施


?





答:


(1)


穿戴防酸碱手套围裙安全眼镜或护目镜


(2)


操作区备有清水与水管以备不时之需


(3)


操作区备有


吸酸棉及隔离带





如何让


c hamber


达到设定的温度


?





答:使用


heater



chiller




Chiller


之功能为何


?





答:用 以帮助稳定


chamber


温度





如何在


c hamber


建立真空


?




答:


(1)


首先确立


chamber


parts


组装完整


(2)



dry


pump


作第一阶段的真空建立


(3)


当圧力到达


100mT


D寺再以


turbo pump


抽真空至


1mT


以下





真空计的功能为何


?




答:侦测


chamber

< p>
的压力


,


确保


wafer


在一定的压力下


process

-


-


-


-


-


-


-


-



本文更新与2021-02-27 21:59,由作者提供,不代表本网站立场,转载请注明出处:https://www.bjmy2z.cn/gaokao/676366.html

Fab 职位的相关文章