关键词不能为空

当前您在: 主页 > 英语 >

病房呼叫系统控制器的FPGA实现

作者:高考题库网
来源:https://www.bjmy2z.cn/gaokao
2021-02-10 01:37
tags:

-

2021年2月10日发(作者:fake是什么意思)


病房呼叫系统控制器的


FPGA


实现

< p>








病房呼 叫系统控制器,是为患者与医护人员构建的一座连接桥


梁,


是患 者在医护人员远离病房时向医护人员发出求救信号的一种新


型的仪器。在比较了各种设计 方法的基础上,最终采用模块化设计、


VHDL


语言与原理图相 结合的方法来完成这次设计,


从而实现病房呼


叫系统控制器的功 能。




本次设计通过运用硬件描述语 言


VHDL


设计病房呼叫系统控制


器,


开始介绍了病房呼叫系统控制器的研究内容、


创新点、


设计原理、


基本组成与功能的具体实现。


然后将 病房呼叫系统控制器分成各个功


能模块,通过编写各个模块代码程序并编译仿真验证其功 能,并将达


到设计要求的各个模块连接在一起,


完成我们的顶层 文件设计。通过


在试验箱上测试,发现完全符合我们的设计要求。



关键词:


病房呼叫;系统;现场可编程门阵列;模块;超高 速集


成电路硬件描述语言























I


/


27


Implementation of Ward Calling System Controller


Based on FPGA


Abstract


Ward calling system controller, is for patients and medical staff to build a bridge to


connect, is patients to medical staff in medical staff from the ward sent a distress signal


a new type of instrument. In comparison of the various design methods, on the basis of


using


modular


design,


VHDL


language


and


the


method


of


combining


the


principle


diagram


to


finish


the


design,


so


as


to


realize


the


function


of


ward


calling


system


controller.


The design by using VHDL hardware description language design ward calling


system controller, began to introduce the research content of the ward calling system


controller, innovative, design principle, basic composition and function of the specific


implementation. Then ward calling system controller is divided into various functional


modules, each module by writing code and compile the simulation verify its function,


and will meet the design requirements of various modules together, do we design the


top


of


the


file.


Through


the


test


in


the


test


chamber,


found


fully


meet


our


design


requirements.


Keywords:


Ward calling, system, FPGA, Modules, VHDL











.. .................................................. .................................................. .........................


I



Abstract


.


....... .................................................. .................................................. .................. II


1



引言


......................... .................................................. .................................................. . 1


1.1



设计背景


....................... .................................................. ...................................... 1


1.2



QUARTUS II



VHDL


语言简介



..................................... .................................. 1


2



病房呼叫系统控制器的设计


... .................................................. ................................. 1


2.1



研究目的


........... .................................................. .................................................. 1


2.2



论文研究内容及创新点


................. .................................................. .................... 2


3



病房呼叫系统控制器的实现


............... .................................................. ..................... 2


3.1



设计原理


....................... .................................................. ...................................... 2


3.2



病房呼叫系统控制器的原理图


.............. .................................................. ........... 2


3.3



模块的划分


...................... .................................................. ................................... 3


3.4



系统各功能模块的实现


................. .................................................. .................... 3


3.4.1



锁存器模块


.......... .................................................. ........................................ 3


3.4.2



数据选择器模块


.................... .................................................. ...................... 4


3.4.3



时间选择器模块


........ .................................................. .................................. 4


3.4.4



计时器模块


...................... .................................................. ............................ 5


3.4.5



显示器模块


.......... .................................................. ........................................ 5


3.4.6



连接器模块


...................... .................................................. ............................ 6


3.4.7



蜂鸣器模块


.......... .................................................. ........................................ 6


4



病房呼叫系统控制器的仿真及分析


............ .................................................. ............ 7


4.1



锁存器


........................ .................................................. ......................................... 7


4.2



数据选择器


...................... .................................................. ................................... 8


4.3



时间选择器


...................... .................................................. ................................... 8


4.4



计时器


........................ .................................................. ......................................... 9


4.5



显示器


........................ .................................................. ....................................... 10


4.6



连接器


........................ .................................................. ....................................... 10


4.7



蜂鸣器


........................ .................................................. ....................................... 11


4.8



整体仿真


....................... .................................................. .................................... 11


5



锁定管脚及硬件实现


.................. .................................................. ............................ 12


5.1



锁定管脚图


.......... .................................................. ............................................. 12


5.2



硬件实现


....................... .................................................. .................................... 13


6



设计总结


....................... .................................................. ........................................... 15


参考文献


....................... .................................................. ................................................. 16








.............. .................................................. .................................................. ........ 17








.. .................................................. .................................................. .................... 18


1


< /p>


锁存器模块的


VHDL


编程


............................................ ....................................... 18


2



数据选择器模块的


VHDL


编程


................ .................................................. ......... 18


3



时 间选择器模块的


VHDL


编程


... .................................................. ...................... 19


4



计时器模块的


VHDL


编程

< p>
........................................... ........................................ 20


5



显示器模块的

< br>VHDL


编程


.................. .................................................. ............... 21


6



连接器模块的


VHDL


编程


.................................................. ................................. 22


7



蜂鸣器器模块的


VHDL

< p>
编程


.............................. ................................................. 22


I


/


27


1



引言



1.1



设计背景



随着科学技术的发展人类社 会迎来了信息时代的到来,


信息时代依托电子工


业、计算机技术 的快速发展,电子工业的发展以


EDA


技术为核心


[1]



EDA


技术


经过


20


多年的发展,设计方法已经基本成形 ,能满足日常生活中的大部分设计


要求,为人类生活创造便利


[ 2]


。它集中了各大编程语言典型的优点,形成了其特


有的由底 层到顶层进行模块化设计的方法,为这次设计提供了技术上的支持。



1.2



QUARTUS II



VHDL


语言简介



QUARTUS II



Alte ra


公司的综合性


CPLD/FPGA


开发软件,支持原理图、


VHDL



V erilogHDL


等多种设计输入形式,


可以通过加载第三方 工具来完成设计,


应用面较为广泛


[3]




QUARTUS II


自带的仿 真功能,


它可以提前进行系统设计,


加载到试验箱上进行验证, 逐步调试改进,使设计的系统满足日常生活需要,再


投入生产,推广上市


[4]


。而


VHDL


作为一门 硬件描述语言,语言本身相对其它编


程语言而言,相对简单一些,容易上手;它的库文件 相当强大,并且调用时几乎


不会消耗资源;它与数字电路联系比较密切,建立在数字电路 的基础上,能轻松


的完成各类复杂电路的设计。



2



病房呼叫系统控制器的设计



2.1



研究目的



随着经济的不断发展,


人们越来越忙碌,


对健康逐渐忽视,


疾病也悄悄来临,


病号也越来越多,传统的病房呼叫系统由于效率低 ,处理慢,许多病人也不能够


得到及时、有效的治疗,使得病情越来越严重,医生处理起 来容易错乱


[5]


。对于


医院也会有经 济效益损失,并伴有一定安全隐患。在


FPGA


的基础上,开发 出病


房呼叫系统控制器,能够有效提高患者就诊速率,让人们有病及时可医。开发一


1


/


27


款新型的病房呼叫系统就显得极为迫切!



2.2



论文研究内容及创新点



本设计由锁存 器、数据选择器、显示器等部分组成,考虑到系统的功能较复


杂,所以采用分块设计的模 式


[6]


。先设计好底层各模块,再到顶层进行综合,然


后在实验箱上进行病房呼叫系统控制器的模拟验证。通过


VHDL


语言完成模块


文件设计,


然后将各模块整合 起来实现系统功能


[7]




VHDL


语言设计创新点如


下:



1.


可以通过仿真来模拟现实仪器,不需要在设计时 就开始做出成品实物;



2.


语言运用 灵活,可在各种进程间相互调用,设计思路清晰;



3.


通过数字电路设计就可实现仪器功能,不同于传统的单片机设计;


< /p>


4.


支持多人的并行设计,适合团队合作,分工设计。

< p>


3



病房呼叫系统控制器的实现



3.1



设计原理




在优先级顺序为


1-8


的病房里分别设 置一个呼叫医护人员的按钮,在试验箱


上用


8

< br>个拨位开关来模拟,


拨位开关指示灯亮表示病房患者有求助信号,


拨位开


关指示灯灭表示病房患者无求助信号。在医护人员的办公室里设置


1-8


个对患者


产生应答的开关按钮,


在试验箱上也用


8


个拨位开关来模拟,


拨位开关指示灯亮


表示医护人员无应答信号,


拨位开关指示灯灭表示医护人员有应答信号。


病房和


医护室里都 有


LED


提示灯,有应答后,灯灭,提示患者有医护人员即将来 病房,


提示之后的医护人员,


有医护人员已经去相应的病房,< /p>


在试验箱上用


8


个连续的


LED


灯表示。在显示时,要按照优先级


1-8


号病房的顺序进行显示,并且显示该


病房患者自发出求助信号的等待时 间。在该时间为


1-9


秒时,喇叭发出持续

9



的提示声;


等时间过完


3


分钟后,



3



1-9


秒喇叭再次发出持续


9


秒的提示声,


以达到提醒医护人员的作用,完成这 次设计。



3.2



病房呼叫系统控制器的原理图






3-1



病房呼叫系统原理图



病房呼叫系统控 制器原理图如图


3-1


所示,通过各功能模块系统的组合形成< /p>


整体结构原理,完成整个控制器的功能实现。



3.3



模块的划分



1.

锁存器模块,通过对病房患者的呼出信号与医护人员的应答信号作对比,


得出无应答 的病房状态并存储下来;






2.


数据选择器模块,在无应答的病 房状态中,按顺序


1-8


选出优先级更高的

病房号;



3.


时间选择器模块, 根据无应答且优先级高的病房号来确定相对应的时间显


示,并制造一个用来计时的控制端 ;





< /p>


4.


计时器模块,接收到计时的控制信号,开始计时;

< p>





5.


显示器模块,用来控制数码管显示病房号和时间;




6.


连接器模块,连接计时器和蜂鸣 器,使喇叭能根据时间来发声;




7 .


蜂鸣器模块,模拟现实中的喇叭,以发出警告声。




3.4



系统各功能模块的实现



3.4.1



锁存器模块



3


/


27




3-2



锁存器模块




该模块要满足对


8


个病房呼出与应答信号的判定,设置


8


位的输入信号


sin[7..0]



当每一位上产生高电平时,


由低位到高位分别 代表


1-8


号病房患者发出


了求助信号 。


类似的设置了


8


位代表医护人员应答 的信号


rest[7..0],


但是它每一位

< br>上的电平为低时,代表应答信息。由这两路输入每一位相互判定,就可得到每个


病 房无应答的存储信息,并将其与


LED


灯相连,当无医护人员应 答时,


LED



亮,应答后灭,完成锁 存器的设计。



3.4.2



数据选择器模块





3-3



数据选择器模块



当不同病房的患者都发出求助信号时



,数据选择器接收由锁存器传输过来


的存储值,即


sout1[ 7..0],


它哪一位为高,由低位到高位分别代表


1-8


号病房无医


护人员应答。再根据由低位到高位的顺序,设置优先级输 出,从而可以判定当前


需要显示的病房号(这里用到


BCD


码相关知识)


[9]


。且使该模块产生两路 输出,


均为当前显示的病房号。


分别传输给时间选择器模块和显 示模块,


达到对时间选


择和显示的目的


[8]


。进而达到对病房号进行优先选择的功能,完成对数据选择器

的设计。



3.4.3



时间选择器模块



复位是用来模拟应答 信号的,


要使复位起作用,


它需要和锁存器及时间选择


器都相连,以达到在病房产生呼叫信号且无应答时,能够控制时间显示,在有应

< br>答时,


停止计时。


时间选择器要能够控制时间显示的是病 房患者等待救护的时间,


因此将它加到计时模块的前面,


以实现 这一功能。


锁存器将其存储的无应答信号


通过数据选择器,


产生一个对当前显示的病房号的独立的复位信号,


还产生了一


个控制计时器对当前最高优先级且无应答的病房号进行计时的启动端,


因此实现


了时间选择的目的。





3-4



时间选择器模块



3.4.4



计时器模块





3-5



计时器模块



设计要求计时用四个数码 管显示当前最高优先级病房的等待时间,


时间精确


到秒,所以时 间采用的是以秒进位。由于有求助信号


sp=1


,也即计时的控 制端。



sp=1


< br>计时器开始工作,


实验箱中的时钟频率可设置为


4Hz< /p>



所以每出现四个


上升沿时,

< p>
时间立刻加计


1s



左边 的两个数码管分别代表分的十位和个位,


右边


两个数码管分别代 表秒的十位和个位。




3.4.5



显示器模块





3-6



显示器模块



5


/


27


该模块要显示最高优先级且 无医护人员应答的病房号及该病房等待医护人


员来临的时间。


为 了实现这一功能,


将试验箱上的第


8


个 数码管用来显示病房号,








5



4



2



1


个数码管用来分别显示时间的分和秒,



3


个数码管显示一横杠,


方便读出当前的等待时间


[10]


。一共用到


6


个数码管,


clk1



65536Hz,


配合


ledcs[ 2..0]


实现位选,使


6


个数码管能 够同时显示。


disp[6..0]


为段选信号,使得数


码管能以


10


进制数在数码管上显示。



3.4.6



连接器模块





3-7



连接器模块



在由底层到顶层进行电路 设计时,为了使蜂鸣器模块根据时间来发出警告


声,加入了一个连接模块,喇叭通过该模 块判定具体时间而发声。连接模块接收


到时间模块的时间信息后,


将所有时间信息整合,


作为输出加到蜂鸣器作为输入,


从而控 制喇叭发声。


其中,


连接器输出端的高四位和次高四位分别代表 秒的个位


和十位,中四位和低四位分别代表分的个位和十位。



3.4.7



蜂鸣器模块





3-8



蜂鸣器模块



因无应答,


当前产生呼叫信号且最高优先级的病房在计时后开始发出


9

< br>秒的


提示声以及灯亮


3


分钟后进 行持续


9


秒的警告声,


蜂鸣器模块是根 据时间来发出


警告声的,所以它必须通过连接器才能根据时间来发出警告声。当


bcd[15..0]



高四位为


0001


相当于时间过去


1


秒,



0010


相当于时间过去


2


秒,


同理可得其


它 时间。在时间为


1



9


秒时试验箱上的喇叭开始发出警告声,给喇叭两个不同


的频率,声音强弱交替, 来模拟急救声。(


clk2



clk3


交替输出,持续


9


秒,若


输出为低电平,无法达到警告的条件,声音太小)。



在时间为


9


秒到


3

< br>分钟这


个时间段时,


喇叭休息。


在时间为


3


分零


1-9


秒时,


喇叭发出持续


9


秒的报 警声。



4



病房呼叫系统控制器的仿真及分析



4.1



锁存器





4-1



锁存器波形图



波形分析:



如图

4-1


所示,


sin[7..0]


代表相应病房的呼叫信号,


高电平代表病房有呼叫信


号,低电平 代表没有呼叫信号;


rest[7..0]


代表呼叫信号对应的 应答信号,低电平


7


/


27


代表对应病房的呼叫信号有应答,高电平代表对应病房的呼叫信号没有应答;


sout1[7..0]



sout2[7..0]< /p>


每位上若存在高电平,对应的是该病房没有应答。当


2

< p>



3


号病房有呼叫时, 由于有应答,所以


sout1[1],sout2[2]



rest[1]



rest[2]


复位


后,


会输出复位后的波形,


也即图中复位后的波形。



5


号病房发出呼叫信号


(即


sin[4]=1


,


因为没有应答,所以


sout 1[4]


在发出呼叫信号的那一刻,一直会是高


电平。



4.2



数据选择器





4-2



数据选择器波形图



波形分析:



如图

4-2


所示,


sout[1]


、< /p>


sout[3]



sout[5]


分别对应


2



4



6


号病房,数据选


择器是对无应答的病房根据


1



8< /p>


的优先级进行选择的,


you[1]


、< /p>


you[2]


指的是


无医护人员应答并且 优先级最高的病房号,因此输出的结果为


2


,即


2


号病房。



4.3



时间选择器



波形分析:



如图

4-3


所示,


rest[7..0]


代表呼叫信号对应的应答信号,


you1


代表当前无医


护人员应答的最高优先级病房号,


为了进行波形仿真,


这里的输入端设置的和锁


存器及数据选择器的输出端一致,

you1


当前值


2



输出


lj


为下一个模块提供复位

输入,输出


zq


为下一个模块提供计时控制端。

< p>




4-3



时间选择器波形图



4.4



计时器





4-4



计时器波形图



波形分析:



如图

4-4


所示,仿真波形中用的是


4


分频,之所以用四分频,是为了在实验


当中可以通过适当改变频率,以加快实验进度,方 便采集实验数据。该图中


4



脉冲周期 为


1


秒,每次采集到


4


个上升沿,时间秒的个位加


1


,每

60


秒分的个


位加


1


,以此类推。在正常试验中,


clk



4Hz,


模拟现实中的


1


秒 。此图是在计


时器的启动输入端为高电平,且复位高电平(复位未工作)的情况下,也即 无医


9


/


27

-


-


-


-


-


-


-


-



本文更新与2021-02-10 01:37,由作者提供,不代表本网站立场,转载请注明出处:https://www.bjmy2z.cn/gaokao/625479.html

病房呼叫系统控制器的FPGA实现的相关文章

  • 爱心与尊严的高中作文题库

    1.关于爱心和尊严的作文八百字 我们不必怀疑富翁的捐助,毕竟普施爱心,善莫大焉,它是一 种美;我们也不必指责苛求受捐者的冷漠的拒绝,因为人总是有尊 严的,这也是一种美。

    小学作文
  • 爱心与尊严高中作文题库

    1.关于爱心和尊严的作文八百字 我们不必怀疑富翁的捐助,毕竟普施爱心,善莫大焉,它是一 种美;我们也不必指责苛求受捐者的冷漠的拒绝,因为人总是有尊 严的,这也是一种美。

    小学作文
  • 爱心与尊重的作文题库

    1.作文关爱与尊重议论文 如果说没有爱就没有教育的话,那么离开了尊重同样也谈不上教育。 因为每一位孩子都渴望得到他人的尊重,尤其是教师的尊重。可是在现实生活中,不时会有

    小学作文
  • 爱心责任100字作文题库

    1.有关爱心,坚持,责任的作文题库各三个 一则150字左右 (要事例) “胜不骄,败不馁”这句话我常听外婆说起。 这句名言的意思是说胜利了抄不骄傲,失败了不气馁。我真正体会到它

    小学作文
  • 爱心责任心的作文题库

    1.有关爱心,坚持,责任的作文题库各三个 一则150字左右 (要事例) “胜不骄,败不馁”这句话我常听外婆说起。 这句名言的意思是说胜利了抄不骄傲,失败了不气馁。我真正体会到它

    小学作文
  • 爱心责任作文题库

    1.有关爱心,坚持,责任的作文题库各三个 一则150字左右 (要事例) “胜不骄,败不馁”这句话我常听外婆说起。 这句名言的意思是说胜利了抄不骄傲,失败了不气馁。我真正体会到它

    小学作文