-
半导体术语表
第
1
页
共
68
页
A
1st level packaging
第一级封装
2nd
level packaging
第二级封装
aberration
象差
/
色差
absorption
吸收
acceleration column
加速管
acceptor
受主
Accumulate
v.
积聚
,
堆积
acid
酸
acoustic
streaming
声学流
active region
有源区
activate
激活
activated
dopant
激活杂质
active component
有源器件
adsorption
吸附
aerosol
悬浮颗粒
air ionizer
空气电离化器
alignment
mark
对准标记
alignment
对准
alloy
合金
半导体术语表
第
2
页
共
68
页
alternate
adj.
交替的
,
轮流的
,
预备的
v.
交替
,
轮
流
,
改变
aluminum
铝
aluminum subtractive process
铝刻蚀工艺
ambient
环境
ammonia(NH3)
氨气
ammonium
fluoride(NH4F)
氟化氨
ammonium
hydroxide(NH4OH)
氢氧化氨
amorphous
非晶的,无定型
analog
模拟信号
angstrom
埃
anion
阴离子
anisotropic etch profile
各向异性刻蚀剖面
anneal
退火
antimony(sb)
锑
antirelective coating(ARC)
抗反射涂层
APCVD
常压化学气向淀积
application specific IC(ASIC)
专用集成电路
aqueous
solution
水溶液
area array
面阵列
argon
< br>(
Ar
)
n.
[
化
]
氩
半导体术语表
第
3
页
共
68
页
arsenic(As)
砷
arsine(AsH3)
砷化氢,砷烷
ashing
灰化,去胶
aspect ratio
深宽比,高宽比
aspect
ratio dependent etching(ARDE)
与刻蚀相关的
深宽比
asphyxiant
窒息剂
assay number
检定数
atmospheric
adj.
大气的
atmospheric
pressure
大气压
atmospheric
pressure
CVD(APCVD)
常压化学气向淀
积
atomic force microscopy(AFM)
原子力显微镜
atomic number
原子序数
attempt
n.
努力
,
尝试
,
企图
vt.
尝试
,
企图
auger electron spectroscopy(AES)
俄歇电子能谱仪
autodoping
自掺杂
automatic
defect classification(ADC)
缺陷自动分类
B
back-end of line(BEOL)
(生产线)后端工序
backgrind
减薄
半导体术语表
第
4
页
共
68
页
backing film
背膜
baffle
vt.
困惑
,
阻碍
,
为难(挡片)
baffle
assembly
n.
集合
,
装配
,
集会
,
集结
,
汇
编
(挡片块)
ball grid array(BGA)
球栅阵列
ballroom
layout
舞厅式布局,超净间的布局
barrel reactor
圆桶型反应室
barrier
metal
阻挡层金属
barrier
voltage
势垒电压
base
基极,基区
batch
批
bay and chase
layout
生产区和技术夹层区
beam blow-up
离子束膨胀
beam
current
束流
beam deceleration
束流减速
beam energy
离子束能量
beol
(生产线)后端工序
best focus
最佳聚焦
BGA
球栅阵列
Biasing
电压拉偏
BICMOS
双极
CMOS
半导体术语表
第
5
页
共
68
页
bincode number
分类代码号
bin map
分类图
bipolar
junction transistor(BJT)
双极晶体管
bipolar
technology
双极技术(工艺)
bird’s
beak effect
鸟嘴效应
blanket deposition
均厚淀积
blower
增压泵
boat
舟
BOE
氧化层刻蚀缓冲剂
Bon voyage
[
法
p>
]
再见
,
一路<
/p>
顺风
[
平安
]
bonding pads
压点
bonding wire
焊线,引线
boron(B)
硼
boron
trichloride(BCL3)
三氯化硼
boron
trifluoride(BF3)
三氟化硼
borophosphosilicate glass(BPSG)
硼磷硅玻璃
borosilicate glass(BSG)
硼硅玻璃
bottom antireflective coating(BARC)
下减反射涂层
boule
单晶锭
bracket
n.
墙上凸出的托架
,
括弧
,
支架
v.
括在
一起
半导体术语表
第
6
页
共
68
页
breakthrough step
突破步骤,起始的干法刻蚀步骤
brightfield detection
亮场检查
brush scrubbing
涮洗
bubbler
带鼓泡槽
buffered
oxide etch(BOE)
氧化层腐蚀缓冲液
bulk
chemical distribution
批量化学材料配送
bulk
gases
大批气体
bulkhead
equipment layout
穿壁式设备布局
bumped
chip
凸点式芯片
buried
layer
埋层
burn-box
燃烧室(或盒)
burn-in
老化
C
CA
化学放大(胶)
cantilever
n.
[
建
]
p>
悬臂
cantilever
paddle
悬臂桨
cap oxide
掩蔽氧化层
capacitance
电容
capacitance-voltage test(C-Vtest)
电容<
/p>
-
电压测试
capacitive coupled plasma
电容偶合等离子体
capacitor
电容器
半导体术语表
第
7
页
共
68
页
carbon tetrafluoride(CF4)
四氟化碳
caro’s acid
3
号液
carrier
载流子
carrier-
depletion region
载流子耗尽层
carrier
gas
携带气体
cassette
(承)片架
cation
阳离子
caustic
腐蚀性的
cavitation
超声波能
CD
关键尺寸
CD-SEM
线宽扫描电镜
Celsius
adj.
摄氏的
center of
focus(COF)
焦点
焦平面
center slow
中心慢速
central processing unit(CPU)
中央处理器
ceramic
substrate
陶瓷封装
CERDIP
陶瓷双列直插封装
Channel
沟道
channel length
沟道长度
channeling
沟道效应
charge
carrier
载流子
半导体术语表
第
8
页
共
68
页
chase
技术夹层
chelating
agent
螯合剂
chemical
amplification(CA)
化学放大胶
chemical
etch mechanism
化学刻蚀机理
chemical
mechanical planarization(CMP)
化学机械平
坦化
chemical solution
化学溶液
chemical
vapor deposition(CVD)
化学气相淀积
chip
芯片
chip on board(COB)
板上芯片
chip scale
package(CSP)
芯片尺寸封装
circuit
geometries
电路几何尺寸
class
number
净化级别
cleanroom
净化间
cleanroom protocol
净化间操作规程
Clearfield mask
亮场掩膜板
Cluster
tool
多腔集成设备
CMOS
互补金属氧化物半导体
CMP
化学机械平坦化
Coater/developer track
涂胶
/
显影
轨道
Cobalt silicide
钴硅化合物
半导体术语表
第
9
页
共
68
页
coefficient
n.
[
数
]
p>
系数
Coefficient of
thermal expansion(CTE)
热涨系数
Coherence
probe microscope
相干探测显微镜
Coherent
light
相干光
coil
v.
盘绕
,
卷
Cold wall
冷壁
Collector
集电极
Collimated light
平行光
Collimated
sputtering
准直溅射
Compensate
v.
偿还
,
补偿
,
付报酬
Compound
semiconductor
化合物半导体
Concentration
浓度
Condensation
浓缩
Conductor
导体
constantly
adv.
不变地
,
经常地
,
坚持不懈地
Confocal
microscope
共聚焦显微镜
Conformal
step coverage
共型台阶覆盖
Contact
接触(孔)
Contact alignment
接触式对准(光刻)
Contact
angle meter
接触角度仪
Contamination
沾污、污染
半导体术语表
第
10
页
共
68
页
conti
boat
连柱舟
conticaster
[
冶
]
连铸机
Continuous spray develop
连续喷雾显影
Contour maps
包络图、等位图、等值图
Contrast
对比度、反差
contribution
n.
捐献
,
贡献
,
投稿
Conventional-line photoresist
常规
I<
/p>
线光刻胶
Cook’s theory
库克理论
Copper CVD
铜
CVD
Copper
interconnect
铜互连
Cost of
ownership(COO)
业主总成本
Covalent
bond
共价键
Critical dimension
关键尺寸
Cryogenic aerosol cleaning
冷凝浮质清洗
Cryogenic pump(cryopump)
冷凝泵
Crystal
晶体
Crystal activation
晶体激活
Crystal defect
晶体缺陷
Crystal
growth
晶体生长
Crystal
lattice
晶格
Crystal orientation
晶向
半导体术语表
第
11
页
共
68
页
CTE
热涨系数
Current-
driven
current
amplifier
电流驱动电流放大
器
CVD
化学气相淀积
Cycle time
周期
CZ crystal puller
CZ
拉单晶设备
Czochralski(CZ) method
切克劳斯基法
D
damascene
大马士革工艺
darkfiled detection
暗场检测
darkfiled
mask
暗场掩膜版
DC bias
直流偏压
decompose
v.
分解
,
(
使
)
腐烂
deep UV(DUV)
深紫外光
default
n.
默认
(
值
),
缺省
(
值
),
食言
,
不履行责任
,
[
律
]
缺席
v.
疏怠职责
,
缺席
,
拖欠
,
默认
defects density
缺陷密度
defect
缺陷
deglaze
漂氧化层
degree of
planarity(DP)
平整度
dehydration
bake
去湿烘培,脱水烘培
半导体术语表
第
12
页
共
68
页
density
密度
deplention
mode
耗尽型
degree of
focus
焦深
deposit
n.
堆积物
,
沉淀物
,
存款
,
押金
,
保证金
,
存
放物
vt.
存放
,
堆积
vi.
沉淀
deposition
淀积
deposited oxide layer
淀积氧化层
depth of focus
焦深
descum
扫底膜
design for test(DFT)
可测试设计
desorption
解吸附作用
develop
inspect
显影检查
development
显影
developer
显影液
deviation
n.
背离
device isolation
器件隔离
device technology
器件工艺
DI water
去离子水
Diameter
n.
直径
diameter
grinding
磨边
diborane
(
B2H6
)乙硼烷
半导体术语表
第
13
页
共
68
页
dichlorosilane(H2SiCL2)
二氯甲硅烷
die
芯片
die array
芯片阵列
die attach
粘片
die-by-die alignment
逐个芯片对准
dielectric
介质
dielectric
constant
介电常数
die matrix
芯片阵列
die separation
分片
diffraction
衍射
diffraction-limited optics
限制衍射镜片
diffusion
扩散
diffusion
controlled
受控扩散
digital/analog
数字
/
模拟
digital circuit
diluent
direct chip attach( DCA)
directionality
discrete
dishing
dislocation
dissolution rate
半导体术语表
第
14
页
共
68
页
dissolution rate monitor(DRM)
溶解率监测
DNQ-novolak
重氮柰醌-酚醛树脂
Donor
施主
dopant profile
掺杂刨面)
doped
region
掺杂区
doping
掺杂
dose monitor
剂量检测仪
dose,Q
剂量
downstream
reactor
顺流法反应
drain
漏
drive-in
推进
dry etch
干法刻蚀
dry mechanical pump
干式机械泵
dry oxidation
干法氧化
dummy
n.
哑巴
,
傀儡
,
假人
,
假货
adj.
虚拟的
,
假的
,
虚构的
n.
[
计
]
哑元
dynamic
adj.
动力的
,
动力学的
,
动态的
E
economies of scale
规模经济
edge bead removal
边缘去胶
edge die
边缘芯片
半导体术语表
第
15
页
共
68
页
edge exclusion
无效边缘区域
electrically erasable
PROM
电可擦除
EPROM
electrode
电极
electromigration
电迁徙
electron beam
lithography
电子束光刻
electron
cyclotron resonance
电子共振回旋加速器
electron shower
电子簇射,电子喷淋
electron stopping
电子阻止
electronic
wafer map
硅片上电性能分布图
electroplating
电镀
electropolishing
电解抛光
electrostatic chuck
静电吸盘
electrostatic discharge(ESD)
静电放电
ellipsometry
椭圆偏振仪,椭偏仪
emitter
发射极
endpoint detection
终点检测
engineering
n.
工程
(
学
)
electrostatic discharge(EDX)
能量弥散谱仪
enhancement mode
增强型
epi
外延
epitaxial
layer
外延层
半导体术语表
第
16
页
共
68
页
epoxy underfill
环氧树脂填充不足
erasable
PROM
可擦除可编程只读存储器
erosion
腐蚀,浸蚀
establish
vt.
建立
,
设立
,
安置
,
使定居
,
使人民接受
,
确定
v.
建立
etch
刻蚀
etch bias
刻蚀涨缩量
etch profile
刻蚀刨面
etch rate
刻蚀速率
etch residue
刻蚀残渣
etch
uniformity
刻蚀均匀性
etchant
刻蚀剂
etchback
planarization
返刻平坦化
eutectic
attach
共晶焊接
eutectic temperature
共晶温度
evaporation
蒸发
even
adj.
平的
,
平滑的
,
偶数的
,
一致的
,
平静的
,
恰好的
,
平均的
,
连贯的
adv.
[
加强语气
]
甚至
(...
也
),
连
...
都
,
即使
,
恰好
,
正当
vt.
使平坦
,
使相等
vi.
变平
,
相等
n.
偶数
,
偶校验
exceed
vt.
超越
,
胜过
vi.
超过其他
半导体术语表
第
17
页
共
68
页
excimer laser
准分之激光
exposal
n.
曝光
,
显露
exposure
曝光
exposure dose
曝光量
extraction electrode
吸极
extreme UV
极紫外线
extrinsic silicon
掺杂硅
F
Fables
无制造厂公司
fabrication
制造
facilities
设施
factor
n.
因素
,
要素
,
因数
,
代理人
fast ramp
furnaces
快速升降温炉
fault model
失效模式
FCC diamond
面心立方金刚石
feature size
特征尺寸
FEOL
前工序
Fick’s laws
FICK
定律
field-effect transistor
场效应晶体管
field
oxide
场氧化
field-
by-field alignment
逐场对准
半导体术语表
第
18
页
共
68
页
field-programmable PROM
现场可编程只读存储器
film
膜
film stress
膜应力
final
assembly and packaging
最终装配和封装
final
test
终测
first
interlayer
dielectric(ILD-1)
第一层层间介质
fixed oxide charge
固定氧化物电荷
flats
定位边
flip chip
倒装芯片
float zone
区熔法
fluorosilicate glass(FSG)
氟化玻璃
focal length
焦距
focal plane
焦平面
focal point
焦点
focus
聚焦
focus ion
beam(FIB)
聚焦离子束
footprint
占地面积
formula
n.
公式
,
规则
,
客套语
forward bias
正偏压
four-point probe
四探针
frenkel defect
Frenkel
缺陷
半导体术语表
第
19
页
共
68
页
front-opening unified pod(FOUP)
前开口盒
functional test
功能测试
furnace flat
zone
恒温区
G
g-line
G
线
gallium(Ga)
镓
gallium
arsenide(GaAs)
砷化镓
gap fill
间隙填充
gas
气体
gas cabinet
气柜
gas manifold
气瓶集装
gas phase nucleation
气相成核
gas purge
气体冲洗
gas throughput
气体产量
gate
栅
gate oxide
栅氧化硅
gate oxide
integrity
栅氧完整性
germanium(Ge)
锗
getter
俘获
glass
玻璃
glazing
光滑表面
半导体术语表
第
20
页
共
68
页
global alignment
全局对准
global
planarization
全局平坦化
glow
discharge
起辉放电
gray area
灰区,技术夹层
gross
defect
层错
grove
n.
小树林
grown oxide layer
热氧化生长氧化层
H
Halogen
卤素
hardbake
坚膜
hardware
n.
五金器具
,
(
电脑的
)
硬件
,
(
电子仪器的
)
部件
HEPA filter
高效过滤器
hermetic
sealing
密封
heteroepitaxy
异质外延
heterogeneous reaction
异质反应
hexamethyldi
silazane(HMDS)
六甲基二硅氨烷
high-density
plasma(HDPCVD)
高密度等离子体化学
气相淀积
high-density plasma etch
高密度等离子刻蚀
high-
pressure oxidation
高压氧化
半导体术语表
第
21
页
共
68
页
high-temperature diffusion furnace
高温扩散炉
high vacuum
高真空
high vacuum
pumps
高真空泵
hillock
小丘(铝)尖刺
homoepitaxy
同质外延
homogeneous
reaction
同质反应
horizontal
adj.
地平线的
,
水平的
horizontal
furnace
卧式炉
hot electron
热电子
hot wall
热壁
hydrochloric acid(HCL)
盐酸
hydrofluoric
acid(HF)
氢氟酸
hydrogen(H2)
氢气
hydrogen chloride(HCL)
氯化氢
hydrogen
peroxide(H2O2)
双氧水
hydeophilic
亲水性
hydrophobic
p>
憎水性,疏水性
hyperfiltration
超过滤
I
i-line
I
线
IC
packaging
集成电路封装
半导体术语表
第
22
页
共
68
页
IC reliability
集成电路可靠性
Iddq
testing
静态漏电流测试
image resolution
图象清晰度
图象分解力
implant
v.
灌输(注入)
impurity
杂质
increment
n.
增加
,
增量
initial
adj.
最初的
,
词首的
,
初始的
n.
词首大写
字母
in situ measurements
在线测量
index of
refraction
折射率
indium
铟
inductively coupled plasma(ICP)
电感耦合等离子体
inert
gas
惰性气体
infrared interference
红外干涉
ingot
锭
ink
mark
墨水标识
in-line
parametric test
在线参数测试
input/output(I/O)pin
输入
/
输出管脚
institute
n.
学会
,
学院
,
协会
vt.
创立
,
开始
,
制
定
,
开始
(
调查
),
提起
(
诉讼
)
insulator
绝缘体
半导体术语表
第
23
页
共
68
页
integrate
vt.
使成整体
,
使一体化
,
求
...
的积分
v.
结合
integrated
circuit(IC)
集成电路
integrated measurement tool
集成电路测量仪
interval
n.
间隔
,
距离
,
幕间休息
n.
时间间隔
interconnect
互连
interconnect
delay
互连连线延迟
interface-trapped charge
界面陷阱电荷
interferometer
干涉仪
interlayer
dielectric(ILD)
层间介质
interstitial
间隙(原子)
intrinsic
silicon
本征硅
invoke
v.
调用
ion
离子
ion analyzer
离子分析仪
ion beam
milling or ion beam etching(IBE)
离子铣或离
子束刻蚀
ion implantation
离子注入
ion
implantation damage
离子注入损伤
ion
implantation doping
离子注入掺杂
ion
implanter
离子注入机
ion projection lithography(IPL)
离子投影机
半导体术语表
第
24
页
共
68
页
ionization
离子化
ionized metal
plasma PVD
离子化金属等离子
PVD
IPA
vapor dry
异丙醇气相干燥
isolation regions
隔离区
isotropic
etch profile
各向同性刻蚀刨面
J
JEFT
结型场效应管
junction(pn) PN
结
junction depth
结深
junction spiking
结尖刺
K
Kelvin
绝对温度
killer defect
致命缺陷
kinetically
controlled reaction
功能控制效应
L
laminar air flow
层状空气流,层流式
lapping
抛光
latchup
闩锁效应
lateral
diffusion
横向扩散
law of reflection
反射定律
LDD
轻掺杂漏
Leadframe
引线框架
半导体术语表
第
25
页
共
68
页
leakage cuttent
漏电流
len
透镜
lens
compaction
透镜收缩
light
光
light intensity
光强
light
scattering
光散射
lightly doped drain(LDD)
轻掺杂漏
linear
线性
linear accelerator
线性加速器
linear
stage
线宽阶段,线性区
linewidth
线宽
liquid
液体
lithography
光刻
loaded brush
沾污的毛刷
loaded
effect
负载效应
loadlock
真空锁
local interconnect(LI)
局部互连
local
planarization
局部平坦化
local oxidation of silicon(LOCOS)
硅局部氧化隔离法
logic
逻辑
lot
批
半导体术语表
第
26
页
共
68
页
low-pressure
chemical
vapor
deposition
(LPCVD)
低压
化学气相淀积
LSI
大规模集成电路
M
magnetic CZ
(
MCZ
)磁性切克劳斯基晶体生长法
magnetically
enhanced
RIE(MERIE)
磁增强反应离子
刻蚀
magnetron sputtering
磁控溅射
Magnification
n.
扩大
,
放大倍率
magnificent
adj.
华丽的
,
高尚的
,
宏伟的
majority
carrier
多子
make-
up loop
补偿循环
mask
掩膜版
n.
面具
,
掩饰
,
石膏面像
vt.
戴面具
,
掩饰
,
使模糊
vi.
化装
,
戴面具
,
掩饰
,
参加化装舞会
mask-
programmable gate array
掩膜可编程门阵列
mass
flow controller(MFC)
质量流量计
mass spectrometer
质谱仪
mass-
transport limited reaction
质量传输限制效应
mathematical
adj.
数学的
,
精确的
mean free
path(MFP)
平均自由程
medium vacuum
中真空
半导体术语表
第
27
页
共
68
页
megasonic cleaning
超声清洗
melt
熔融
membrane
contactor
薄膜接触器,隔膜接触器
membrane filter
薄膜过滤器,隔膜过滤器
merchant
n.
商
人
,
批发商
,
贸易商
,
店主
adj.
商业的
,
商人的
mercury arc
lamp
汞灯
MESFET
用在砷化镓结型场效应晶体管中的金属栅
metal contact
金属接触孔
metal
impurities
金属杂质
metal stack
复合金属,金属堆叠
metallization
金属化
metalorganic CVD
金属有机化学气相淀积
metrology
度量衡学
microchip
微芯片
microdefect
微缺陷
microlithography
微光刻
microloading
微负载,与刻蚀相关的深宽比
micron
微米
microprocessor
n.
[
计
]
微处理器
microprocessor unit
微处理器
半导体术语表
第
28
页
共
68
页
microroughness
微粗糙度
Miller
indices
密勒指数
minienvironment
微环境
minimum
geometry
最小尺寸
minority carrier
少子
mix and match
混合与匹配
mobile ionic
contaminants(MIC)
可动离子沾污
mobile oxide charge
可动氧化层电荷
module
n.
模数
,
模块
,
登月舱
,
指令舱
modify
vt.
更改
,
修改
v.
修改
molecular beam
epitaxy (MBE)
分子束外延
molecular
flow
分子流
monitor wafer(test wafer)
陪片,测试片,样片
monocrystal
单晶
monolithic
device
单片器件
Moore's law
摩尔定律
MOS
金属氧化物半导体
MOSFET
金属氧化物半导体场效应管
motor curreant endpoint
电机电流终点检测(法)
MSI
中规模集成电路
Multiplier
n.
增加者
,
繁殖者
,
乘数
,
增效器
,
乘法器
半导体术语表
第
29
页
共
68
页
multichip module(MCM)
多芯片模式
multilenel
metallization
多重金属化
Murphy's
model
墨菲模型
N
nanometer(nm)
纳米
native oxide
自然氧化层
n-channel MOSFET
n
沟道
MOSFET
negatine resist
负性光刻胶
negative
n.
否定
,
负数
,
底片
adj.
否定的
,
消极的
,
负的
,
阴性的
vt.
否定
,
拒绝
(
接受
)
negatine resist development
负性光刻胶显影
neutral
beam trap
中性束陷阱
next-generation lithography
下一代光刻技术
nitric
acid(HNO3)
硝酸
nitrogen(N2)
氮气
nitrogen
trifluoride(NF3)
三氟化氮
nitrous
oxide (N2O)
一氧化二氮、笑气
nMOS
n
沟道
MO
S
场效应晶体管
noncritical layer
非关键层
nonvolatile
memory
非挥发性存储器
normality
归一化
半导体术语表
第
30
页
共
68
页
notch
定位槽
novolak
苯酚甲醛聚树脂材料
npn
npn
型(三极管)
n-type silicon
n
型硅
nuclear stopping
离子终止
nucleation
成核现象,晶核形成
nuclei coalescence
核合并
numerical
aperture(NA)
数值孔径
n-well
n
阱
O
objective
(显微镜的)物镜
off-axis
illumination(OAI)
偏轴式曝光,离轴式曝光
ohmic
contact
欧姆接触
op amp
运算放大器
optical
interferometry endpoint
光学干涉法终点检测
optical
lithography
光学光刻
optical microscope(light microscope)
光学显微镜
optical proximity correction(OPC)
光学临近修正
optical pyrometer
光学高温计
optics
光学
organic compound
有机化合物
半导体术语表
第
31
页
共
68
页
out-diffusion
反扩散
outgassing
除气作用
overdrive
过压力
overetch step
过刻蚀
overflow rinser
溢流清洗
overlay
accuracy
套准精度
overlay budget
套准偏差
overlay
registration
套刻对准
oxidation
氧化
oxidation-induced stacking faults(OISF)
氧化诱生层积
缺陷,氧化诱生堆垛层错
oxide
氧化物、氧化层、氧化膜
oxidezer
氧化剂
oxide-trapped
charge
氧化层陷阱电荷
ozone(O3)
臭氧
P
package
封装管壳
pad
conditioning
垫修整
pad oxide
垫氧化膜
paddle
悬臂
n.
短桨
,
划桨
,
明轮翼
vi.
划桨
,
戏
水
,
涉水
vt.
用桨划
,
搅
,
拌
半导体术语表
第
32
页
共
68
页
parabolic stage
抛物线阶段
parallel-
plate(planar)reactor
平板反应
parallel
testing
并行测试
parameter
参数
parametric
test
参数测试
parasitic
寄生
parasitic
capacitance
寄生电容
parasitic resistance
寄生电阻
parasitic
transistor
寄生电阻器
partial pressure
分压
particle
density
颗粒密度
particle
per
wafer
per
pass(PWP)
每步每片上的颗粒
数
passivation
钝化
passivation
layer
钝化层
passive components
无源元件
pattern
sensitivity
图形灵敏性
patterned
etching
图形刻蚀
pattern wafer
带图形硅片
patterning
图形转移,图形成型,刻印
pc board
印刷电路版
半导体术语表
第
33
页
共
68
页
p-channel MOSFET
p
沟道
MOSFET
PCM
工艺控制监测
PEB
曝光后烘焙
PECVD
等离子体增强化学气相淀积
PEL
允许曝露极限值
pellicle
贴膜
pentavalent
五价元素
perform
vt.
履行
,
执行
,
表演
,
演出
v.
完成任务
performing
adj.
表演的
,
履行的
perimete
array
周边阵列式(封装)
pH scale
pH
值
phase-shift mask(PSM)
相移掩膜技术
phosphine(PH3)
磷化氢
phosphoric
acid(H3PO4)
磷酸
phosphorus(P)
磷
phosphorus
oxychloride(POCL3)
三氯氧磷
phosphosilicate glass(PSG)
磷硅玻璃
photoacid
generator(PAG)
光酸产生剂
photoacoustics
光声的
photoactive
compound(PAC)
感光化合物
photography
n.
摄影
,
摄影术
光刻
半导体术语表
第
34
页
共
68
页
photolithography
光刻(技术)
photomask
光掩膜
photoresist
光刻胶
photoresist
stripping
去胶、光刻胶去除
physical
etch mechanism
物理刻蚀机理
physical
vapor deposition(PVD)
物理气相淀积
pigtail
引出头
pin grid array(PGA)
针栅阵列式(封装)
pinhole
针孔
piranha
3
号液
pitch
间距
planar
平面
planar capacitor
平面电容
planar
process
平面工艺
planarization
平坦化
plasma
等离子体
n.
[
解
]
血浆
,
乳浆
,[
物
]
等离子体
,
等
离子区
plasma-based dry cleaning
等离子体干法清洗
plasma
electron flood
等离子电子流
plasma
enhanced CVD(PECVD)
等离子体增强
CVD
plasma
etch
等离子体刻蚀
-
-
-
-
-
-
-
-
-
上一篇:如何写一封公对公的函
下一篇:马车越空,噪音越大