关键词不能为空

当前您在: 主页 > 英语 >

基于51单片机煤气浓度检测及报警系统的设计-

作者:高考题库网
来源:https://www.bjmy2z.cn/gaokao
2021-02-17 23:04
tags:

-

2021年2月17日发(作者:税赋)


目录




摘要


........................................... .....................


1


英文摘要


....................................... ....



误!未定义书签。



1


绪论


............. .................................................


3


1.1


课题的研究背景



......... .....................................


3


1.2


课题的研究目的与意义



........................................


3


1.3


课题目前研究现状及发展动态



..................................


4


1.4


课题的研究内容

< p>
............................................ ...


4


2


煤气报警系统的总体 方案设计


................................... .....


4


2.1


系统的功能要 求


...................................... .........


4


2.2


系统的技术要求



......... .....................................


5


2.3


系统的组成及方案设计



........................................


5


3


系统的硬件设计


............................................. .......


6


3.1


主控电路



............ ........................................


7


3.1.1


时钟电路

< p>
............................................ ...


7


3.1.2


复位电路< /p>


........................................ .......


8


3.2


烟雾探测电路的设计



....... ...................................


9


3.2.1 MQ-2


介绍



........................................... ...


9


3.2.2 ADC0809


介绍



....... ...................................


10


3.3


液晶显示电路设计



...........................................


11


3.4


声光报警提示电路



........ ...................................


12


3.4.1


灯光提示电路


..... .....................................


13


3.4.2


声音报警电路


......................................... .


13


3.5


电机驱动电路


.......................................... ......


13


3.6


按键电路



............ .......................................


14


4


系统的软件设计

< p>
............................................ .......


14


4.1 EDA


仿真软件―


Proteus.......................... .............


14


4.2


系统程序流程图



......... ....................................


15


4.3


烟雾探测子程序的设计



.......................................


16


4.4


按键电路子程序的设计



.......................................


17


4.5


电机驱动子程序的设计



.......................................


18


总结


............ .................................................. .


19


参考文献


........ .................................................. .


21


致谢


.......... .................................................. ...


22


附录


:


程序


................................. .........................


23





基于< /p>


51


单片机煤气浓度检测及报警系统的


设 计



机电工程学院



自动化专业



徐本波(


21106061093




指导老师:


郭一军



(讲师)



摘要


:



随着 社会的进步,


科技的发展,


人们的生活水平得到提升,


天然气煤气逐渐进入我们


的家庭,


它给我们带来 方便的同时也给我们带来了危害。


所以煤气浓度检测报警系统的制作

很有必要。


而且计算机及单片机的普及和信息技术的迅猛发展,

使人们已经对家庭住宅环境


提出了更高的要求,智能化,信息化被引入家庭,便利, 舒适而且更加安全。



煤气报警器中采用


STC89C52


为主控制器,利用气体传感器


MQ-2



ADC0809


数模转换芯


片等实现基本功能。通过这些传感器和芯片,当环境中煤气浓度发生变化时,


LCD1602


液晶


显示器会实时显示煤气浓度值,

< p>
并且发出相应的灯光报警信号和声音报警信号,


以此来实现


煤气报警,


当煤气浓度达到一定的范围时,


系统还可以 驱动继电器工作,


继电器通过驱动排


风扇排出有毒气体,以此来 实现智能报警控制。




本系统主要 针对传统煤气,液化气的泄漏进行检测,报警。本系统有如下特点:用单片


机实现控制, 电路简单,价格便宜,可靠性好。此外,本系统还可作为智能家居的一个安全


系统。




关键词:


单 片机;


MQ-2


传感器;


ADC080 9


;煤气报警;智能控制










1




Design of Gas Concentration Detection and


Alarm system Detection Based on 51 MCU



Xu Benbo





Director:


Guo Yijun



College of Mechanical and Electrical Engineering



Huangshan University




Abstract:



With the progress of society, the development of science, people’s living standard


has been improved, natural gas and coal gas gradually into our family. They bring us convenience,


but also brings us harm. So it’s necessary to make a gas concentration dete


ction alarm system. In


addition,


the


rapid


development


of


the


popularity


of


computer


and


single


chip


computer


and


information


technology,


people


have


on


the


house


environment


proposed


higher


requirements,


intelligent, informatization is introduced family, convenient, comfortable and safer.


Gas


alarm


in


the


paper


uses


STC89C52


as


the


core


controller,


the


realization


of


the


basic


functions


of


the


gas


sensor


MQ-2,


ADC0809


converter,


etc.


Through


these


sensors


and


chips,


when


environmental smoke


concentration or


combustible


gas concentration changes


the


system sends out corresponding light alarm signal and the sound alarm signal, in order to achieve


smoke alarm, when the smoke reaches a certain range, the system can also drive the relay, So that


the


relay can


discharges


poisonous


gases


through


the


exhaust


fan . Implementation


of


intelligent alarm control.


This


system


is


mainly


for


conventional


gas,


liquefied


petroleum


gas


leak


detection,


alarm


system has the following characteristics: with MCU control circuit is simple, cheap, and reliability.


In addition, this system can be used as a smart home security system.


Key Words


: MCU; MQ-2 sensor; ADC0809; Gas alarm; intelligent control








2




1


绪论



1.1


课题的研究背景



现在的社会,


人们越来越离不开煤气,


天然气等与我们日常生活息息相关的


能源。


但随着煤气使用普及率提高的同时,


煤气 泄漏引起的问题发生的次数也随


之变高,


从而给人们的人身安全 和财产安全带来了很多隐患,


所以如何去预防和


规避煤气泄漏是 我们在现实生活中需要认真考虑的。



由于气体本身特性存在扩 散性,发生可燃气泄漏以后,在外部条件作用下,


可燃气体会迅速在空间扩散,


形成大面积危险区。


这一类事故往往具有破坏性大,

危害面积广等特点。


另外,


煤气的主要成分是一氧化碳芳香 烃等。


煤气有毒主要


原因是其中的一氧化碳等气体易与人体中的 血红蛋白结合,


造成缺氧,


使人头晕,


恶性及虚脱等,


严重的会危及生命。


当发现可燃气体发生泄漏时 ,


我们务必要及


时使用适当的措施进行处理,

< br>才能将这类损害降到最低。


那么如果我们有能够检


测可燃 气体浓度的装置,


并且当气体浓度达到预警值时,


仪器能够自动 报警并且


自动处理这一类的险情,


这无疑是我们想要的。


这就对煤气的检测和报警系统的


检测设备设置了一个较高的门槛


[


11


]




随着国家互联网


+


战 略的提出,物联网和智能家居这些概念也越来越被人民


大众所熟悉。

美的拥抱小米和京东、


TCL


入局


360


智能家居,


海尔牵手阿里巴巴、


长虹联姻腾讯


......1+1>2


的战略模式在自能家居领 域蔓延开来。


而煤气报警系


统本身就可以作为智能家居的一部分 ,


它既可以作为家庭智能家居的一部分,


家庭保驾护航;


又可以作为智能社区的一部分,


通过把小区 用户的煤气数据都传


到小区主机为社区提供安保保障。



1.2


课题的研究目的与意义



目的:


随着现代家庭用煤气,


天然气的增加 ,


家庭煤气中毒及煤气爆炸的频


率也越来越高。


煤气泄漏的危害,


很容易被人们所忽视,


主要是因为泄 露的气体


不容易被人们所发觉


[


7


]




意义:


在中国,


煤气泄漏带来的危害每天都在发生,


所以每一个家庭都应该


足够重视这方面的危害。如皋能够根据家庭的实际情况,提起采 取必要的措施,


有些悲剧完全可以避免。


一系列悲剧的发生,


由国家到社会各界意识到,


煤气检测报 警系统对家庭和


工业环境的必要性。


据调查,

< br>在发生煤气泄漏危害的家庭里都是没有安装类似煤


气检测报警器的。因此,煤气检 测及报警系统对我们生活的重要性。



3




1.3


课题目前研究现状及发展动态



如今应 用最广泛的煤气检测报警器与气敏元件传感器,


已经应用于气体泄漏

检测,


仅用于安全保护家用煤气检测报警器为例,


不少西方 发达国家在法律上已


经明确规定家庭、公寓、餐厅、医院、学校、工厂的必须按要求安装 报警器。国


外报警器发展很快,


是由于人们安全意识很强,


对环境安全性和生活舒适性要求


较高;


另一 方面是由于燃气泄漏报警器市场的增长受到政府安全法规的推动。


此,国外煤气检测报警器技术得到了较快发展,据有关统计,美国在


1996


年—


2002


年就煤气检测报警器的年均增长 率为


27


%~


30

%。在这些方面,国内的安


全意识有待增强。


< p>
煤气检测报警器的发展趋势集中表现为,一是提高检测灵敏度和工作性能,


降低功耗和成本,减小尺寸,简化电路,与应用整机相结合,这也是煤气检测报


警器一直 追求的目标;


二是增强可靠性,


实现元件和应用电路集成化,< /p>


多功能化,


发展


MEMS


技术,发展现场适用的变送器和智能型天然气检测气报警器。如美国


在天然气泄 漏报警器中嵌入微处理器,


使燃气泄漏报警器具有控制校准和监视故

障状况功能,实现了智能化、涉多功能化。



1.4


课题的研究内容



煤气报警器,


主要检测可燃气体泄漏。


通过单片机控制相应的声光报警电路


和驱动负载电路。


通过液晶显示当前的煤气浓度值,

通过按键设定相应的报警值。



该项目主要是为了完成任务,包括:




1


)硬件部分:包括烟雾探测电路的设计,显示模块的选择, 电机驱动电


路的设计,声光报警电路等的设计。




2


)软件部分:包括微处理器控制程序的编制和原 理图的绘制。




3

< br>)系统的综合调试与分析:在软硬件完成以后,要对系统进行综合的测


试与实验, 分析系统的可靠性与实用性,调整系统的不足。



2


煤气报警系统的总体方案设计



本课题 主要是实现以


51


单片机作为控制器的煤气浓度检测报警系统, 利用


传感器将空间中煤气浓度信号转化为模拟信号,


并选择合适 的模数转换芯片转化


模拟信号,


再将转换后的信号经单片机处理 ,


若大于预设值则报警,


并启动外接


排 风扇,避免事故的发生。



2.1


系统的功能要求



本系统的研制主要包括以下几项功能:



4




(1 )


煤气浓度探测功能:为了提高煤气泄漏报警的准确性和及时性,报警系


统需要使用各种方法进行煤气浓度探测。在实际使用中,根据不同的场所需要,


用户可以选用不同的煤气传感器,设定不同的预设值,不同功率的排风扇。


< p>
(2)


灯光报警功能:当煤气浓度过大、有可燃气体泄漏等情况出现时,报 警


器要进行声光报警。


当检测的烟雾值超过设定值时,


可以使单片机驱动控制负载


工作。



2.2


系统的技术要求


< p>
在了解这个系统的工作原理以及功能之后,相应的方案设计就可以进行了。


系统采用的


51


系列单片机的成本都比较低而且功能强大,可以 满足生产成本和


各类工程的需求。


对于完整的一个系统而言,< /p>


为提高产品本身的竞争力,


这个系


统应具 备体积较小、


功耗低、


数传性能稳定可靠和成本低廉等一些技术 要求。



体指标和参数如下


:



(1)


体积小:探测器的体积一定要尽量的小 ,这样才能占用较小的空间,使


用和更换才会方便。



(2)


功耗低:系统可以采用三节


5

< p>
号干电池供电或


5v


电源供电。

< br>


(3)


可靠性高


:

< p>
由于不确定的电磁干扰可能存在在系统工作环境中,


为了保证


系统在工作长时间后仍能可靠的工作和尽量减少误报次数,所以选择多指示灯,


指示不同的状态。



2.3


系统的组成及方案设计













晶振电路


电机驱动电



复位电路


声光报警电



按键电路


液晶显示电


烟雾探测电



单片机




2-1


系统基本方框图




5




系统 的组成结构如图


2-1


所示:



本设计主要由烟雾探测传感器电路、


主控电路、


液晶显示电路、


声光报警电


路、电机驱动电路、控制程序等组 成。



3


系统的硬件设计



硬件系统的电路包括 主机单元的电路和功能实现系统模块电路。


主机单元主


要有


STC


89


C


52


单片机与复位电路和时钟电路组成。功能模块由烟雾探测电路,


液晶显示模块,声光报警提示电路,电机驱动电路,按键电路组成。


< br>该系统正常工作时,


首先系统得电,


单片机进行初始化。


然后由装在室内的


MQ-2


传感器获得 室内煤气浓度的原始信号,再进入数模芯片


ADC


0809


转换器,


把原始模拟的信号转化为数字信号,


从而得到被测对象的数字量信号,


传给单片


机,再由单片机进 行数据处理,最终把得到的浓度检测值显示在


LCD1602


上 。



通过


LCD1602


液晶显示器实时显示当前的煤气浓度值,共设有


4


个 报警值,


绿灯亮设定值、黄灯亮设定值、红灯亮设定值、煤气报警设定值。而它们的大小


都可以通过按键设定,


并且三个灯的设定值依次增大。


其中


K1


键是模式选择键,

用以选择修改哪个设定值,而


K2



K3


用于相应设定值数字的增减。默认绿灯在


2



15


时亮起,一般显示的烟雾值不会小于


2


,小于


2


时就得检测 系统是否正常


工作;


黄灯为


15



30


时亮起,


当被 检测室内有人吸烟时会亮起;


红灯为


30



60



当被检测室内吸烟较大,< /p>


或是煤气轻度泄露等原因,


红灯会亮起;


当室内烟量大



60


,此时可能时煤气 泄露或是起火,蜂鸣器会报警,同时继电器会吸合,使


排风扇工作,进行换气,防止灾害 的发生。



+5


+5

< br>GND


I


N


1

< br>2


3


4


5


6


7


8


9


1


0


1602


16PIN


C4


FS


1K


1


1


1


2


1

< br>3


1


4


1


5


1


6


R9


Q2


9012


J4


+5





1

2


3


+5


GND

< br>L


C


D


E


N


R


S


R6


10K


R8


1k


R7

< br>104


+5


GND


+5


1


2


3


RL3


A


F


A


MQ-2


B


F


B


6

< p>
5


4


GND


GND




K1


R5


470


M1


+


-


2.2


GND


k


1


k


2


k


3


S1


A


S2


SW


S


PST


S3


SW


S


PST


2

1


J5


GND


< br>线端



GND


SW

< p>
S


PST


GND


ST


ADC0809


1


2


3


4


5


6


7


8


9


10


11


12


13


14


In3


In4


In5


In6

< p>
In7


START


EOC


D3


OE


CLOCK


Vcc

< p>
Vref+


GND


D1


A DC1


ADC0809


In2


In1< /p>


In0


ADDA


ADDB


ADDC


ALE


D7


D6


D5


D4


D0


Vr ef-


D2


28


27

< br>26


25


24


23


22


21


20


19


18


17


16


15


+5


D0


D1


D2


D3


D4


D5


D6


D7


U1


1


2


3


4


5


6


7


8


9


10< /p>


11


12


13


1 4


15


16


17


18


19


20


P1.0


P1.1


P1.2


P1.3


P1.4


P1.5


P1.6


P1.7< /p>


RST


P3.0(RXD)


P3.1(T XD)


P3.2(INT0)


P3.3(INT1)

< p>
P3.4(T0)


P3.5(T1)


P3.6(W R)


P3.7(RD)


XTAL2


XT AL1


GND


89C51


C2


30P


GND


VCC


( AD0)P0.0


(AD1)P0.1


(AD2)P0.2


(AD3)P0.3


(AD4)P0.4


( AD5)P0.5


(AD6)P0.6


(AD7)P0.7


EA/VP


P


ALE/P

< br>ROG


PSEN


(A15)P2.


7


(A14)P2.


6


(A13)P 2.


5


(A12)P2.


4

< p>
(A11)P2.


3


(A10)P2.

< p>
2


(A9)P2.1


(A8)P2.0

< p>
40


39


38


37


36


35


34


33< /p>


32


31


30


2 9


28


27


26


25


24


23


22

< br>21


+5


J1


1


2


3


4


5

6


7


8


9


10K


CLK


k2


k1


LCDEN


RS


D1


D2


D3


P1


2


POW ER


1




+ 5


+5


IN


GND

+


C3


10uf


3


S1


1


2


2

< br>4


6


1


3


5


1


3


5


F


A


B


BUZZER

GND


EOC


D3


OE

< p>
CLK


+5


D7


D6


D5


D4


D0


R1


10K


OE


ST


FS


EOC


k3


Y1


2


4


6


FR1


Q1


9012


GND


D


2


LEDR


LEDY


LEDG


R4


2.2k


R3

< p>
1k


+5


2.2K


D


1


R2


220


12 MHZ


C1


30P


GND


GND




3-1


系统电路图



6




3.1


主控电路



本系统的主控芯片采用的是


STC


89


C


52,


其引脚如图


3-2


所示:



U1


1


2


3


4


5


6


7


8


9


1

< br>0


1


1


1


2


1


3


1


4


1


5


1


6


1


7


1


8


1


9


2


0


P10


P11


P12


P13


P14


P15


P16

< br>P17


RESET


P30


/RX D


P31


/TXD


P32


/INT0


P33


/INT1


P34


/T0


P35


/T1


P36


W


R


P37


/RD


X2


X1


G ND


STC8


9


C5

< br>2


VCC


P00


P01


P02


P03


P04


P 05


P06


P07


EA/VP


ALE/P


PSEN


P27

P26


P25


P24


P23


P22


P21


P20


4


0


3


9


3< /p>


8


3


7


3


6


3


5


3

< p>
4


3


3


3


2


3


1


3

0


2


9


2


8


2


7


2


6< /p>


2


5


2


4


2


3


2


2

< p>
2


1




3-2


STC89C52DIP


封装图




52


单片机在一块芯片中集成了微型 计算机所具有的所有部件,从功能方面


讲,主要包括以下


9


个部分


[


1


]




一个


8


位的微处理器


CPU




8KB


的片内程序存储器


Flash ROM


,


用于烧录运行的程序,常数数据;


256


的片内数据存储器


RAM ,


在程序运行时可以随时写入和读出数据,


用于存


放函数相互传递的参数,


接受的外部数据,


运算的中 间结果,


最后结果以及显示


的数据等;


128B


特殊功能寄存器(


SFR


)控 制单片机各个部件的运行。



3



16


位的定时器


/


计数器,每个定时器


/


计数器可以设置为计数方式,用


于对外部事件信号进行计数,也可以设置为定时方式,满足各种定时要求。



有一个管理


6


个中断源,


2


个优先级的中断控制器;


< br>4



8


位并行

< br>I/O


端口,每个端口既可以用作输入,也可以用作输出。



一个全双工的


UART


串行


I/O


口,用于单片机之间的通信,或者单片机有其

< br>他设备之间的串行通信;



片内震荡电路和时钟发生器,


只需外面接上一晶振或输入震荡信号,


就可以

< br>产生单片机所需要的各种时钟信号。



有一个可寻址


64KB


外部数据存储器,


还可以寻址


64KB


外部程序存储器的三


总线的控制电 路。



3.1.1


时钟电路



STC89C52


单片机通常产生两种方式的时钟信号:一种是内部时钟方式,另


7




外一种外部时钟方式。

< p>
内部时钟方式如图


3-3


所示。

< br>在


STC89C52


单片机内部有


一振荡电路,只要在单片机的


XTAL1(18)



XTAL2(19)


引脚外接石英晶体


(


简称


晶振


)


,就构成 了自激振荡器并在单片机内部产生时钟脉冲信号。图中电容


C1



C2


的作用是稳定频率和快速起振,电容值为


30pF


。晶振


CYS


的振荡 频率范围



1.2~12MHz


间选择 ,本设计该值选为


12MHz






3-3


STC89C52


内部时钟电路




3.1.2


复位电路



当在


STC89C52


单片机的


RST


引 脚引入高电平并保持


2


个机器周期时,单


片机内部就执行复位操作。


系统复位是任何微机系统执行的第一步,

< br>它使整个控


制芯片回到默认的硬件状态下,


也就是单片机 的片内电路初始化,


是单片机从一


种确定的初态开始运行。



复位电路工作原理如图


3-4

< p>
所示,


Vcc


上电时,


C 3


充电,在电阻


R1


出现电

< p>
压,使得单片机复位;几个毫秒后,


C3


充满,电 阻


R1


上电流降为


0

< br>,电压也为


0


,使得单片机进入工作状态。工作期间,按 下


S0



C3


放电;松开按键后,


C3


又充电,在电阻


R1


上出现电压,是单片机复位。几个毫秒后,单片机进入工作


状态。














3-4 STC89C52


复位电路



8




3.2


烟雾探测电路的设计



如图

< p>
3-5


所示,在这个电路中,有两个部分,主要是


MQ-2


烟雾传感器和数


模转换芯片


A DC0809



MQ-2


传感器获得室 内煤气浓度的原始信号,


再进入数模芯



ADC0809


转换器,


把原始模拟的信号转化为数字信号,


从而得到被测对象的数


字量信号,传给单片机。




ST


ADC0809


1


2


3


4


5


6


7


8


9


10


11


12

< p>
13


14


In3


In4< /p>


In5


In6


In7

ST


ART


EOC


D3

< p>
OE


CLOCK


V


c


c


V


re


f


+


GND


D1


ADC 1


ADC0809


In2


In1


In0


ADDA


ADDB

ADDC


ALE


D7


D6


D5


D4


D0


V


re


f


-


D2


28


27


26


25< /p>


24


23


22


2 1


20


19


18


17


16


15


IN

< br>GND


EOC


D3


OE


CLK


+


5


D7


D6


D5


D4


D0< /p>


D


1


GND


C4


I


N


D


2


R8


1k


R7


GN D


2.2


104


6

5


4


+


5


1


2


3


RL3


A


F


A


MQ-


2


B


F


B


GN D





3-5


烟雾探测电路




3.2.1 MQ-2


介绍



MQ-2


型气体传感器用于以氢气为主要成分的城市煤气、天然气的测量 ,而


且它抗干扰能力很强,水蒸气、烟等干扰气体对它的影响很小


[


9


]




MQ-2


型气敏元件具有以下特点:



(1)


采用烧结半导体所形成的敏感烧结体,具有稳定的


R


(


即器件在纯洁空


气中的阻抗

< br>)


阻值,从而保证了长期工作的稳定性。



(2)


单电源供电,其功耗仅


0.7 W


左右。



(3)


对所测试的气体有极高的灵敏度和信噪比。


< br>MQ-2


型气敏元件有两种型号。


MQ-2A

< p>
型适用于天然气、


城市煤气、


石油液化

< p>
气、丙丁烷及氢气等


;MQ-2


型适用于烟雾等减 光型有害气体。



封装好的气敏元件有6只针状管脚,


其中4个用于信号取出,


2个用于提供


加热电流 。



9






3-6



MQ-2


型元件通电时间特性曲线




如图


3-6


可看出,通电后


60



90

< p>
秒,元件即进入稳定待测状态。


MQ-2



特点如下:





广泛的探测范围





高灵敏度


/


快速响应恢复





优异的稳定性

/


长寿命





简单的驱动电路



3.2.2 ADC0809


介绍



ADC0809


芯片共有


28


个引脚,并且采用双列直 插式封装,如图所示。下面


对各引脚功能进行说明。



IN0



IN7



8


路模拟量输入端


,


在该课题中,我们只选用一路,即


IN0


< br>


D0



D7

< br>:


8


位数字量输出端,分别与单片机的

< br>P1^0



P1^7


所连。



ADDA



AD DB



ADDC


3


位地址输入线,用于选通


8


路模 拟输入中的一路,而


我们选用的是


IN0,

则这三位地址输入线全部接地。



ALE

< br>:


地址锁存允许信号,


输入,


高 电平有效,


与单片机的


P3^3


引脚相 连接。



START



A/D


转换启动脉冲输入端,输入一个正脉冲(至少


100ns


宽)使其


启动(脉冲上升沿使


0809


复位,下降沿启动


A/D


转换)


,与 单片机的


P3^3


引脚


相连接。



EOC




数模转换结束信号,输出,当数模转换结束时,此端输出一个高电平


( 转换期间一直为低电平)


,与单片机的


P3^6


引脚相连接。



OE


:数据输 出允许信号,输入,高电平有效。当


A/D


转换结束时,此端输 入


一个高电平,


才能打开输出三态门,


输出数字量,


与单片机的


P3^2


引脚 相连接。



CLK


:时钟脉冲输入端。 要求时钟频率不高于


640KHZ


,与单片机的


CLK


引脚


相连接。



REF



+




REF



-



:基准电压。



10




V cc



5V


电源。


GND


:地。



首先输入


3


位地址,并使


AL E=1


,将地址存入地址锁存器中。地址经译码选


< p>
8


路模拟输入之一到比较器。


START


上升沿将逐次逼近寄存器复位。下降沿启



A/ D


转换,之后


EOC


输出信号变低,指 示转换正在进行。直到


A/D


转换完成,


EOC


变为高电平,指示


A/D


转换 结束,结果数据已存入锁存器,这个信号可用作


中断申请。


当< /p>


OE


输入高电平



时,


输出三态门打开,


转换结果的数字量输出到数

< p>
据总线上。



只要一旦确定转换完成,

< p>
即可通过指令进行数据传送。


首先送出口地址并以


信号有效时,


OE


信号即有效,把转换数据送上数据总线,供单 片机接受。














3-7 ADC0809


引脚图




3.3


液晶显示电路设计



LCD1602A


是一种常见的工业字符型液晶,能同时显示


32


个字符。



16



2


行)


。在日常生活中,液晶显示器对我们是非常常见的。在单片机系统中应用晶


液显示器作 为输出器件有以下几个优点:



由于液晶显示器每个点在收到信 号后就始终保持那种色彩和亮度,稳定发


光,


而无需像阴极射线 管显示器那样需要不断刷新新亮点。


所以,


液晶显示器画


质好,不会闪烁。



液晶显示器是数字式的 ,


和单片机系统的接口更加简单可靠,


操作更加方便。



液晶显示器应用显示屏上的电极控制液晶分子状态来达到显示的目的 ,


在重


量上比相同显示面积的传统显示器要轻得多。

< p>


相对而言,液晶显示器的功耗主要消耗在其内部的电极和驱动


IC


上,因而


11




耗电量比其它显示器要少得多。




1


)引脚说明




1


脚:


VSS


接地。



< br>2


脚:


VDD



+5V


电源。




3


脚:液晶显示器对比度调节端。




4


脚:数据


/


命令选择端。




5


脚:读写选择端。




6


脚:使能信号端。




7



14


脚:


D0



D7



8


位的双向数据线。




15


脚:背光源正极。




16


脚:背光源负极。




2


< br>1602LCD


液晶与单片机接口说明



液晶


1



2

< br>端为电源;


15



16


为背光电源。



液晶


3


端为液晶对比度调节端,


通过一个


10 K


电位器接地来调节液晶显示对


比度。


首次使用时,


在液晶上电状态下,


调节至液晶上面一行显示出黑 色小格为


止。



液晶

< br>4


端为向液晶控制器写数据


/


写 命令选择端,接单片机


P2.3


口。



液晶


5


端为读写选择端,


因为我们不从液晶读取任何数据,


只向其写入命令


和 显示数据,因此此端始终选择为写状态,即低电平接地。



液晶


6


为使能信号,接单片机的


P2.4< /p>




160


2




1


2


3


L


C


D


E


R


N


S


4


5


6


7


8


1

< br>9


0


+5


16PIN

< p>
+5








R6< /p>


10K


GND


R5


470


GND


GND



3-8


液晶显示电路设计




3.4


声光报警提示电路



12




1


1


1


2


1


3


1


4


1


5


1


6


+5


GND

< p>
3.4.1


灯光提示电路


LED


灯在该电路中起知识电路工作状态的作用。


绿色


LED


灯与单片机的


P2.2


引脚相连。


当检测到的煤气浓度在


2



15


之间时,


绿灯亮,


表示室内煤气浓度正


常。当黄色


LED


灯亮时,表示检测到的浓度为


15



30


,此时表示室内可能有烟


雾泄漏源产生, 而当红色


LED


灯亮时,表示检测的浓度值已超过


30


,有可能起


火或煤气泄漏。


D1


D2


D3

< br>LEDR


LEDY


LEDG


R4


R3


R2


2.2k

1k


220


+5




3-9


灯光提示电路




3.4.2


声音报警电路



蜂鸣器驱动电路一般都包含以下几个部分:


一个三极管、


一个蜂鸣器、


一个


限流电阻。



蜂鸣器为发声元件,在其两端施加直流电压(有源蜂鸣器)或者方波(无源


蜂鸣器)


就可以发声,


其主要参数是外形尺寸、


发声方向、


工作电压、


工作频率、


工作电流、驱动方式(直流


/


方波)等。这些都 可以根据需要来选择。本设计采


用有源蜂鸣器。



三极管采用


S9012


,其基极的低电平使三极管饱 和导通,使蜂鸣器发声;而


基极高电平则使三极管关闭,蜂鸣器停止发声。该电路用单片 机的


P2.5


引脚控


制,当该引脚为高 电平时,蜂鸣器停止发声;该引脚为低电平时,蜂鸣器发出警


报声。




3-10


声音报警电路



13




3.5


电机驱动电路



电机驱动电路是由三极管



限流电阻,


继电器组成。


单片机的


P3.4


能够控制


着电机的运行与停止。当单片机的


P 3.4


引脚为高电平时,三极管不导通,电机


停止运转。当该引 脚为低电平时,三极管导通,继电器线圈中会有一定的电流,


从而电磁效应产生,


衔铁就会在电磁力吸引的作用下克服返回弹簧的拉力吸向铁


芯,带动衔 铁的动触点与静触点(常开触点)吸合,电机通电运转,排除有毒气


体。



+5


GND


R9

< p>
FS


1K


+5


Q2


901


2


J4





1


2


3




K1

< p>
M1


+


J5


GND


2


1



线端



A


-





3-11


继电器控制电机驱动电路




3.6


按键电路


< br>本课题的按键为编码键盘,通过按键可以对报警的浓度设定值上限进行修


改。按键


K1


对应单片机的


P2^6

< p>
引脚,按键


K2


对应单片机的

P2^7


引脚,按键


K3


对应单片 机的


P3^7


引脚。



其中


K1


键用于模式选择,比如是选择修改红色


LED


灯亮的设定值还是其它


的设定值。而< /p>


K2,K3


分别用于修改设定值的大小。




k


1


k


2


k


3


S2


SW


SPST


S1


SW


SPST


S3


SW


SPST


GND




3-12


按键电路




4


系统的软件设计



14




4.1 EDA


仿真软件―


Proteus


P roteus


是一个功能强大的


EDA


工具软件。


它除了具有其它


EDA


软件 的仿真


功能,而且还能仿真单片机及外围器件


[


6


]



为了节约时间和控制成本,所以在硬件的设计时,采用了


proteus

< p>
对系统仿


真。


在仿真的过程中还可以与

< p>
keil


软件进行联调,


方便观察仿真效果。


如图


4-1


所示。




















4-1


系统仿真图




4.2


系统程序流程图


< p>
主程序的功能是是完成系统的初始化,


信号的采集及处理、


按键检测和信息


显示、浓度超线报警。根据系统工作特点,程序采用结构化的设 计方法。主程序


流程图如图


4-1


所示 :



15



-


-


-


-


-


-


-


-



本文更新与2021-02-17 23:04,由作者提供,不代表本网站立场,转载请注明出处:https://www.bjmy2z.cn/gaokao/663570.html

基于51单片机煤气浓度检测及报警系统的设计-的相关文章