关键词不能为空

当前您在: 主页 > 英语 >

IC制程专业词汇

作者:高考题库网
来源:https://www.bjmy2z.cn/gaokao
2021-02-02 17:26
tags:

-

2021年2月2日发(作者:水分子)


頁次



英文名稱



中文名稱



1


2


3


4


5


6


7


8


9


10


11


12


13


14


15


16


17


18


19


20


21


22


23


24


25


26


27


28


29


30


31


32


33


34


35


36


37


38


39


40


41


42


Active Area


ACETONE


ADI----After Develop Inspection


AEI-----After Etch Inspection


AIR SHOWER


ALIGNMENT


ALLOY/SINTER


AL/SI


AL/SI/CU


ALUMINUN


ANGLE LAPPING


ANGSTROm


APCVD



ATMOSPRESSURE




AS75


ASHING



STRIPPING


ASSEMBLY


BACK GRINDING


BAKE, SOFT BAKE, HARD BAKE


BF2


BOAT


B.O.E


BONDING PAD


BORON


BPSG


BREAKDOWN VOLTAGE


BURN IN


CAD


CD MEASUREMENT


CH3COOH


CHAMBER


CHANNEL


CHIP ,DIE


CLT



CARRIER LIFE TIME




CMOS


COATING


CROSS SECTION


C-V PLOT


CWQC


CYCLE TIME


CYCLE TIME


DEFECT DENSITY


DEHYDRATION


BAKE


主動區(工作區)



丙酮



顯影後檢查



蝕刻後檢查



空氣洗塵室



對準



熔合




/







/



/






角度研磨





常壓化學氣相沈積





電漿光阻去除



晶粒封裝



晶背研磨



烘烤,軟烤,預烤



二氟化硼



晶舟



緩衝蝕刻液



銲墊





含硼及磷的矽化物



崩潰電壓



預燒試驗



電腦輔助設計



微距測試



醋酸



真空室


,


反應室



通道



晶粒



截子生命週期



互補式金氧半導體



光阻覆蓋



橫截面



電容


,


電壓圓



全公司品質管制



生產週期時間



生產週期時間



缺點密度



去水烘烤



43


44


45


46


47


48


49


50


51


52


53


54


55


56


57


58


59


60


61


62


63


64


65


66


67


68


69


70


71


72


73


74


75


76


77


78


79


80


dehydration bake


DENSIFY


DESCUM


DESIGN RULE


EDSIGN RULE


DIE BY DIE ALIGNMENT


DIFFUSION


DI WATER


DOPING


DRAM , SRAM


DRIVE IN


E-BEAM LITHOGRAPHY


EFR



EARLY FAILURE RATE




ELECTROMIGRATION


ELECTRON/HOLE


ELLIPSOMETER


EM



ELECTRO MIGRATION TEST




END POINT DETECTOR


ENERGY


EPI WAFER


EPROM


(ERASABLE-PROGRAMMABLE


ROM




ESD


ELECTROSTATIC DAMAGE


ELECTROSTATIC DISCHARGE


ETCH


EXPOSURE


FABRICATION

< br>(


FAB




FBFC



FULL BIT FUNCTION CHIP




FIELD/MOAT


FILTRATION


FIT



FAILURE IN TIME




FOUNDRY


FOUR POINT PROBE


F/S



FINESONIC CLEAN




FTIR


FTY



FINAL TEST YIELD




FUKE DEFECT


GATE OXIDE


GATE VALVE


GEC



GOOD ELECTRICAL CHIP




GETTERING


密化



電漿預處理



設計規範



設計準則




FIELD


均對準



擴散



去離子水



參入雜質



動態


,


靜態隨機存取記憶體



驅入



電子束微影技術



早期故障率



電子遷移



電子


/


電洞



橢圓測厚儀



電子遷移可靠度測試



終點偵測器



能量



磊晶晶片



電子可程式唯讀記憶體



靜電破壞



靜電放電



蝕刻



曝光



製造



全功能晶片



場區



過濾




客戶委託加工



四點偵測



超音波清洗



傅氏轉換紅外線光譜分析儀





閘極氧化層



閘閥



優良電器特性晶片



吸附



81


82


83


84


85


86


87


88


89


90


91


92


93


94


95


96


97


98


99


100


101


102


103


104


105


106


107


108


109


110


111


112


113


114


115


116


117


118


119


G-LINE


GLOBAL ALIGNMENT


GOI



GATE OXIDE INTEGRITY




GRAIN SIZE


GRR


STUDY


(GAUGE


REPEATABILITyAND


REPRODUUCIBILITY




H2SO4


H3PO4


HCL


HEPA


HILLOCK


HMDS


HNO3


HOT ELECTRON EFFECT


I-LINE STEPPER


IMPURITY


INTEGRATED CIRCUIT


IC




ION IMPLANTER


ION IMPLANTATION


ISOTROPIC ETCHING


ITY



INTEGRATED TEST YIELD




LATCH UP


LAYOUT


LOAD LOCK


LOT NUMBER


LPCVD



LOW PRESSURE




LP SINTER


LPY



LASER PROBE YIELD




MASK


MICRO,MICROMETER,MICRON


MIS ALIGN


MOS


MPY



MULTI PROBE YIELD




MTBF



MEAN


TIME


BETWEEN


FAILURE




N2,NITROGEN


N,P TYPE SEMICONDUCTOR


NSG



NONDOPED


SILICATE


GLASS




NUMERICAL APERTURE


N.A.




OEB



OXIDE ETCH BACK




OHMIC CONTACT


G-


光線



整片性對準與計算



閘極氧化層完整性



顆粒大小



測量儀器重複性與再現性之研究



硫酸



磷酸



氯化氫(鹽酸)



高效率過濾器



凸起物



HMDS


蒸鍍



硝酸



熱電子效應



I-LINE


步進對準曝光機



雜質



積體電路



離子植入機



離子植入



等向性蝕刻



综合测试良率



栓鎖效應



佈局



傳送室



批號



低壓化學氣相沈積



低壓燒結



雷射修補前測試良率



光罩



微,微米



對準不良



金氧半導體



多功能偵測良率



平均失效时间



氮氣



N,P


型半導體



無參入雜質矽酸鹽玻璃



數值孔徑



氧化層平坦化蝕刻



歐姆接觸



120


121


122


123


124


125


126


127


128


129


130


131


132


133


134


135


136


137


138


139


140


141


142


143


144


145


146


147


148


149


150


151


152


153


154


155


156


ONO



OXIDE NITRIDE OXIDE




OPL



OP LIFE




OPERATION LIFE


TEST




OXYGEN


P31


PARTICLE CONTAMINATION


PARTICLE COUNTER


PASSIVATION OXIDE



P/O




P/D



PARTICLE DEFECT




PECVD


PELLICLE


PELLICLE


PH3


PHOTORESIST


PILOT WAFER


PINHOLE


PIRANHA CLEAN


PIX


PLASMA ETCHING


PM



PREVENTIVE


MAINTENANCE




POCL3


POLY SILICON


POX


PREHEAT


PRESSURE


REACTIVE ION ETCHIN G



R.I.E.


< br>


RECIPE


REFLOW


REGISTRATION ERROR


RELIABILITY


REPEAT DEFECT


RESISTIVITY


RESOLUTION


RETICLE


REWORK/SCRAP/WAIVE


RUN IN/OUT


SCRUBBER


SAD



SOFTWARE


DEFECT


ANALYSIS




SEM



SCANNING


ELECTRON


氧化層


-


氮化層


-


氧化層



使用期限(壽命)



氧氣





塵粒污染



塵粒計數器



護層



塵粒缺陷



電漿


CVD


光罩護膜



光罩保護膜



氫化磷



光阻



試作晶片



針孔



過氧硫酸清洗



聚醯胺膜



電將蝕刻



定期保養



三氯氧化磷



複晶矽



聚醯胺膜含光罩功能



預熱



壓力



活性離子蝕刻



程式



回流



註記差



可靠性



重複性缺點



阻值



解析力



光罩



修改



/


報廢


/


簽過



擠進


/


擠出



刷洗機



缺陷分析軟體



157


電子顯微鏡



158


159


160


161


162


163


MICROSCOPE




SELECTIVITY


SILICIDE


SALICIDE


SILICON


SILICON NITRIDE


SMS


(SEMICODUCTOR


MANUFACTURING SYSTEMS




SOFT WARE, HARD WARE


S.O.G.



SPIN ON GLASS




S.O.J.


(SMALL


OUTLINE


J-LEAD


PACKAGE




SOLVENT


SPECIFICATION



SPEC




SPICE PARAMETER


S.R.A


(SPREADING


RESISTENCE


ANALYSIS




SPUTTERING


SSER



SYSTEM


SOFT


ERROR


RATE


TEST




STEP COVERAGE


STEPPER


SURFACE STATUS


SWR



SPECIAL WORK REQUEST




TARGET


TDDB



TIME DEPENDENT DIELECTRIC


BREAKDOWN




TECN



TEMPORARY ENGINEERING


CHANGE NOTICE




TEOS



TETRAETHYLOR THOSILICATE




選擇性



矽化物



金屬矽化物





氯化矽



半導體製造系統



164


165


166


軟體



,


硬體



旋製氧化矽



縮小型

< br>J


形腳包裝


IC


167


168


169


170


溶劑



規範



SPIC


參數



展佈電阻分析



171


172


濺鍍



系統暫時性失效比率測試



173


174


175


176


177


178


階梯覆蓋



步進式對準機



表面狀態






介電質層崩溃的時間依存性



179


180


181


182


183


184


185


186


臨時性製程變更通知



四乙基氧化矽


/


正硅酸乙脂

< br>


臨界電壓



產量



TMP


TI


記憶產品樣品


(原型)



TI


記憶



TI MEMORY


PROTOTYPE



TMS-X


TI


體標準產品



MEMORY STANDARD PRODUCT




氧化層厚度



TOX


故障排除



TROUBLE SHOOTING


底切度



UNDERCUT


THRESHOLD VOLTAGE


THROUGH PUT


187


188


189


190


191


192


193


194


195


196


197


X


光微影技術



黃光室



198



1.


?Vt could drops or c


limbs as


gate length


shrinks à


Short Channel Effect or Reverse


Short Channel Effect.



2


?Vt could


drops


or climbs


as


AA width



shrinks


à



Narrow width


Effect or Reverse


Narrow Width Effect.



3


?


Channel profile determines


SCE and RSCE.



4


?


Isolation structure and channel profile


determines


NWE and RNWE


.





0.4



0.35



0.3




0.25



0.2



0.15




0.1



0


0 .1


0.2


0.3


0.4


0.5


0.6


0.7


0.8


0.9


1




Lgate (um)










V


t



(


v


)


UNIFORMITY


VACUUM


VACUUM PUMP


VERNIER


VIA CONTACT


VISCOSITY/stickness


VLF



VERTICAL LAMINAR FLOW




WELL/TANK


WLRC


(WAFER


LEVEL


RELIABILITY


CONTROL




WLQC



WAFER


LEVEL


QUALITY


CONTROL




X-RAY LITHOGRAPHY


YELLOW ROOM


均勻度



真空



真空幫浦



遊標尺



連接窗


/


接触孔



黏度



垂直流層



井區



晶圓層次(廠內)可靠度控制



晶圓層次(廠內)品質控制





0.8


LOCOS



0.7



0.6



0.5



0.4



0.3



0.2



0.1


STI



0



0


0.1


0.2


0.3


0.4

< br>0.5


0.6


0.7


0.8


0.9


1


1.1




Width (um)







ASIC:


专用集成电路



application specific IC


W/S:width/space



STI: shallow slot isolation


Slurry


泥浆


,




Pad


衬垫



RTI


实时检测



SC specially characteristic


关键属性



Numerical Aperture


N.A.




數值孔徑



LDD: low dose drain


轻掺杂漏极


: to supperess the SCE


ATPG:auto test pattern generator


ADI: After Developing Inspection


DIBL (Drain Induced Barrier Lowering)


GIDL(gate induced drain leakage)


PSM phase-shift mask


相移掩膜技术



SC1 standard clean 1


SC2 standard clean 2


FEOL front-end of line


BEOL back-end of line


DIBL: drain induced barrier lower


GIDL: drain induced drain leakage


SCE: short channel effect


SAC oxide: sacrifice oxide



DARC: dielectric anti-reflective coating


无机物


; barc & tarc bottom and top


有机物



SDE:source/drain-extension


V


t



(


v


)


RCA : SC1 + SC2


Caro:3


号液:



PRRM:


PhotoResist ReMove


EKC : EKC 270T


(solvent name)


APM



SPM



HPM


的主要成分,除何种杂质;

< p>
HF


的作用。



APM NH4OH:H2O2:H2O=1:1:5


SC1



主要去除微颗粒,可除部分金属离子。



HPM HCL:H2O2:H2O=1:1:6


SC2


主要作用是去除金属离子。



SPM H2SO4:H2O2=4:1


主要作用是去除有机物(主要是残留光刻胶)




HF


的主要作用是 去除


OX




TSN:thin SiN


DNW:deep N-well


Aspect ratio:


深宽比、高宽比



ARDE:aspect ratio depend etch

ASIC:


专用集成电路


application specific IC


STR:speciall Test Request


EDM:equipment down management


Peeling:


剥皮



SSRW: Super Steep Retrograde Well


VCE: vacuum cassette elevator


CWF: customor wafer form


ERB:


BKSP:backside pressure


PNL:pulse nucleation layer


SWR:specially work request


VOC: volatile organic chemistry


ECP: electric chemical planting


PRB Fail:


串并联转换



FIB:Focus ion beam


PECS:precise etch & coating system


OTP: one time program


ASI:after strip inspection


DOE:design of experiment


FEM:focus energy matrix


RS/RC: sheet resistance / contact resistance


EBR:


ESC:electrostatic chuck


静电吸盘



SOP:standard operation program


DBR:


OPC:optical proximity correction


sheath:


外壳,鞘



EAR: engineering abnormal report


EAP: engineering automatic program


MSTR: MASS speciall technology request


CTM: customer


Arcing:


静电放电过程造成的灼伤,比如


sputtering



s plasma


DRB: Disposition review board


DCVD: Dielectric CVD


TEG: test element group


Tec: thermal expansion coefficient


TTF:total life


FN


: FN injection:



Spking


:


由于


al



si


的互溶性造成的


al


< p>
si


中扩散导致的穿刺现象



SOF:stop on wafer:when one bin fail come out, test stops;


COF:continue


on


wafer:


when


one


bin


fail


comes


out,


test


continue


for


other


test items.


Qual:abrrviation of qualification


Coner


lot:


the


curve


IdsatN



and


form


a


window


in


it


the


lot


can


be run safe.


PRBS:Pseudo Random Binary Sequence


ZERO mark :35D3H2L2 350A , Deepth 3 um,hump 2um, hole diameter 2 um


OAI (Off-Axis Illuminator):



?


Definition: A kind of special illumination mode to improve process performance


(DoF).


?


In general,there are 3 kinds : small


σ


,Quadruple,Annular


?


This technology is very useful and easy to use,but bad throughput(~30% Intensity


decrease


P.E.B:



Post Exposure Bake



==> I-line : Reduce Standing Wave effect



==> DUV : Diffuse Photo Acid(Core process)


?


Root cause of Standing Wave(I-line)




==> Different concentration of dissolved PAC because of light wave


?


PEB temperature of DUV decide CD and its profile :It depends on resist


?


Need to evaluate optimum Temp: Below PAC dissolution Temp (130?


C )


?


I-line(110?


C~ 120?


C),DUV(110?


C~ 130?


C) are optimum Temp.



OPC: optical proximity correlation


DOF: Depth Of Focus


IMP:Ion Metal Plasma


Gallon:


加仑



英:


4.546




3.785




(liter)


SIP:



Self-Ionized Plasma



F/O


: fab out = through put


Darkfield detection


暗场检测



Descum


扫底膜



Diborane B2H6


乙硼烷



Dichlorosilane/dichloride/silane H2SiCl2


二氯甲硅烷


/


二氯化 物


/


硅烷



Discrete


分离元器件



Dishing


凹陷



ECR: electron cyclotron resonance


Enhancement mode/depletion mode


增强型


/


耗尽型





FLIP: Floating Indeved Point Arithmetic


变址浮点运算



FLOP: FLoating Octal Point


浮点八进制



D FLIP-FLOP D


触发器














頁次



英文名稱



中文名稱



解析



1


Active Area


2


ACTONE


主動區(工作區)



主動電晶體



ACTIVE TRAN SISTOR




< br>造













ACT IVE


AREA



。在標準之


MOS


製造過程中


ACTIVE

< p>
AREA


是由一層


氮化矽光罩即等接氮化矽蝕刻之 後的


局部場區氧化所形成的



而由於利 用到


局部場氧化之步驟,所以


ACTIVE


AREA


會受到鳥嘴(


BIRD'S


BEAK



之影響而比原先之氮化矽光 罩所定義


的區域來的小,以長


0.6UM


之場區氧


化而言,大概會有


0.5UM



BIRD'S


BEAK


存在,也就是說



ACTIVE


AREA


比原在之氮化 矽光罩


所定義的區域小


0.5UM


。< /p>



丙酮



1.



丙酮是有機溶劑的一種,分子式 為


CH3COCH3




2.



性質為無色,具刺激性及薄荷臭 味


之液體。



3.




FA B


內之用途



主要在於黃光室


內正光阻之清洗、擦拭。



4.



對神經中樞具中度麻醉性,對皮 膚


黏膜具輕微毒性,長期接觸會引起


皮膚炎,吸入過量之丙酮蒸 汽會刺


激鼻、眼結膜及咽喉黏膜,甚至引


起頭痛、噁心、嘔吐、 目眩、意識


不明等。



5.



允許濃度


1000PPM




3


ADI


顯影後檢查



4


AEI


蝕刻後檢查



5


AIR SHOWER


空氣洗塵室



6


ALIGNMENT


對準



7


ALLOY/SINT


ER


熔合



1.


定義:



After


Developing


Inspection


之縮




2.


目的:



檢查黃光室製程;光阻覆蓋→對準


→曝光→顯影。發現缺點後,如覆

蓋不良、顯影不良



等即予修改,


以維護產品良率、品質。



3.


方法:



利用目檢、顯微鏡為之。



1.



定義:



AEI



After Etching Inspection



在蝕刻製程光阻去除前及光阻去除


後,分別對產品實施全檢或抽樣檢


查。



2.


目的:



2-1


提高產品良率



避免不良品外流




2-2


達 到品質的一致性和製程之重複


性。



2-3


顯示製程能力之指標



2-4


阻止異常擴大,節省成本



3.


通常


AEI


檢 查出來之不良品



非必要


時很少作修改



因為重去氧化層或重長


氧化層可能造 成元件特性改變可靠性


變差、缺點密度增加,生產成本增高,


以 及良率降低之缺點。



進入潔淨室之前


,需穿無塵衣,因在外


面更衣室之故


,無塵衣上沾著塵埃,故< /p>


進潔淨室之前



需經空氣噴洗機將塵埃< /p>


吹掉。



1.



定義:



利用晶片上的對準鍵,一般用 十字


鍵和光罩上的對準鍵合對為之。



2.



目的:




IC


的製造過程中,必須經過


6~10


次左右的對準


曝光來定義電


路圖案,對準就是要將層層圖案精


確地定義顯 像在晶片上面。



3.



方法:



A.


人眼對準



B.


用光、電組合代替人眼,即機械


式對準。



Alloy


之目的


在使



與矽基


(Silicon


Substrate)


之接觸有


Ohmic


特性



即電


8


9


10


11


12


13


壓與電流成線性關係。



Alloy


也可降低接觸的阻值。



此為金屬濺鍍時所使用的一種金屬合


AL/SI



/






金材料利用


Ar


遊離的離子,讓其撞擊


此靶的表面,把

< br>Al/Si


的原子撞擊出



,< /p>


而鍍在晶片表面上,一般使用之組


成為


A l/Si (1%)



將此當作元件與外界

導線連接。



金屬濺鍍時所使用的原料名稱



通常是


AL/SI/CU



/




/




稱為< /p>


TARGET


,其成分為


0.5


﹪銅,


1


﹪矽及


98. 5


﹪鋁



一般製程通常是使用


99


﹪鋁


1


﹪矽


,後來為了金屬電荷遷移


現象



ELEC TROMIGRATION



故滲



0.5


﹪銅,以降低金屬電荷遷移。



此為金屬濺鍍時所使用的一種金屬材


ALUMINUN




料,利用


Ar


遊離的離子,讓其撞擊此


種材料做成的靶表面,把


Al


的原子撞


擊出來


, 而鍍在晶片表面上,將此當作


元件與外界導線之連接。



角度研磨



ANGLE


Angle


Lapping


的目的


是為了測量


LAPPING < /p>


Junction


的深度,所作的晶片前處理,

< br>這種採用光線干涉測量的方法就稱之


Angle


Lapping


。公式為


Xj=λ/2


NF



Junction


深度等於入射光波長的一


半與干涉條紋數之乘積


。< /p>


但漸漸的隨著


VLSI


元件的縮小,準確 度及精密度都




< br>應




SRP(Spreadi ng


Resistance


Prqbing)


也是應用


Angle


Lapping


的方法作前處理



採用的方法


是以表面植入濃度與阻值的對應關係

求出


Junction


的深度,精確度遠超過


入射光干涉法。



ANGSTRON




是一個長度單位

< br>,


其大小為


1


公尺的百


億分之一



約為人的頭髮寬度之五十萬


分之一。此單位常用於


IC


製程上,表


示其層(如


SiO2



Poly



SiN….


)厚

< p>
度時用。










APCVD



Atmos phere(




)

< br>,


APCVD



ATMOSPR E




Pressure(

< p>



)



Chemical(




)< /p>



SSURE




Vapor(


氣相


)

< br>及


Deposition(


沈積


)


的縮




也 就是說



反應氣體


(如


SiH4(g)



B2H6(g)

,和


O2(g)


)在常壓下起化學


反應而生成一層固態的生成物(如


BPSG


)於晶片上。



14


AS75




15


A SHING



電漿光阻去除



STRIPPING


16


ASSEMBLY


晶粒封裝



17


BACK


GRINDING


晶背研磨



自然界元素之一;由


33


個質子,


42



中子即


75


個電子所組成。半導體工業


用的砷離子



As



可由


AsH

< br>3


氣體分


解得到。砷是


N-TY PE


DOPANT



用作


N-


場區、空乏區及


S/D

植入。



1.


< br>電


漿










漿





Plasma



,將晶片表面之光阻加


以去除。



2.



電漿光阻去除的原理,係利用氧 氣




漿


中< /p>









< p>
Radical



與光阻


(高分子的有機


物)


發生作用



產生揮發性的氣體,


再由幫浦抽走,達到光阻去除的目


的。



3.



電漿光組的產生速率通常較酸液光


阻去除為慢,但是若產品經過離子

< p>
植入或電將蝕刻後,表面之光組或


發生碳化或石墨化等化學作用,整


個表面之光阻均已變質,若以硫酸


吃光阻,無法將表面已變質之光阻< /p>


加以去除,故均必須先以電漿光阻


去除之方式來做。



以樹酯或陶瓷材料


,將晶粒包在其中,

< p>
以達到保護晶粒,隔絕環境污染的目




而此一連串的加工過程,即稱為晶


粒封裝(


As sembly




< br>封裝的材料不同,其封裝的作法亦不




本公司幾乎都是以樹酯材料作晶粒


的封裝,製程包括:



晶片切割→晶粒目檢→晶粒上


「架」




線架,即


Lead


frame


)→銲線→模壓


封裝→穩定烘烤

< p>
(使樹酯物性穩定)



切框、彎腳成型→腳沾錫→ 蓋印→完


成。



以樹酯為材料之


IC


,通常用於消費性


產品,如電腦、計算機 ,而以陶瓷作封


裝材料之


IC


,屬於高 性賴度之元件,


通常用於飛彈、火箭等較精密的產品


上。



利用研磨機將晶片背面磨薄以便測試


包裝< /p>



著重的是厚度均勻度及背面之乾


淨度。



一般


6


吋晶 片之厚度約


20mil



30 mil


左右


,為了便於晶粒封裝打線,故需將


18


19


20


21


22


晶片厚度磨薄至


10 mil



15mil


左右





BAKE,


SOFT


烘烤,軟烤,預烤



烘烤(

< p>
Bake



BAKE,


在積體電路晶片上的製造過程中



將晶



HARD BAKE


片至於稍高溫(


60


℃~


250


℃)的烘箱

< p>
內或熱板上均可謂之烘烤



隨其目的的

< p>
不同,可區分微軟烤(


Soft


bake


)與


預烤(


Hard bake





軟烤(


Soft bake





其使用時機是在上完光阻後



主要目的


是爲了將光阻中的溶劑蒸發去除



並且


可增加光阻與晶片之附著力。



預烤(


Hard bake





又稱為蝕刻前烘烤(


pre-etch bake




主要目的為去除水氣,增加光阻附著

< p>
性,尤其在濕蝕刻(


wet


etching


)更


為重要,預烤不全長會造成過蝕刻。



二氟化硼



·


一種供做離子植入用之離子。



BF2


·


BF


2



是由


BF


3



氣體晶燈絲加熱分解


成:

< p>


B


10



B


11



F


19



B


10


F


2



B

11


F


2





Extract


拉出及質譜磁場 分析後而得到。



·是一種


P-type


離子,通常用 作


VT



入(閘層)及


S/D


植入。



晶舟



BOAT

Boat


原意是單木舟



在半導體


IC


製造


過程中,常需要用一種工具作 晶片傳


送、清洗及加工,這種承載晶片的工


具,我們稱之為


Boat




一般


Boat


有兩種材質,一是石英、另


一 是鐵氟龍。石英


Boat


用在溫度較高


(大於


300


℃)


的場合。

< p>
而鐵氟龍


Boat


則用在傳送或酸處理的場合。< /p>



緩衝蝕刻液



B.O.E


BOE



HF



NG4F


依不同比例混 合


而成。


6:1


BOE




即表示


HF

< p>


NH4F=1



6


的成分混合而成。


HF


< br>主要的蝕刻液



NH4F


則作為 緩衝劑使


用。利用


NH4F


固定〔


H


+〕的濃度,


使之保持一定的蝕刻率。< /p>



HF


會浸蝕玻


璃及



何含


矽石


的物




對皮膚有強烈的腐蝕性,不 小心被


濺到,應用大量水沖洗。



銲墊



銲墊-晶利用以連接金線或鋁線的金


BONDING


PAD


屬層。在晶粒封裝(


Asse mbly


)的製


程中


,有一個步驟是作 “銲線”,即是


用金線


(塑膠包裝體)


或鋁線


(陶瓷包


23


BORON


24


BPSG


25


BREAKDOW


N VOLTAGE


裝體)


將晶粒的線路與包裝體之各個接


腳依銲線圖

< p>


Bonding Diagram


< p>
連接


在一起


,如此一來,晶粒的功能才能有


效地應用。



由於晶粒上的金屬線路的寬度即 間隙


都非常窄小,


(目前


SIMC


所致的產品


約是微米左右的線寬或間隙)


, 而用來


連接用的金線或鋁線其線徑目前由於


受到材料的延展性即 對金屬接線強度


要求的限制,祇能做到


1.0

< br>~


1.3mil



25.4



33j


微米)


左 右,在此情況下,


要把二



三十微米的 金屬線直接連接到


金屬線路間距只有


3


微米的晶粒上




定會造成多條鋁線的 接橋



故晶粒上的


鋁路,在其末端皆設 計成一個約


4mil


見方的金屬層


,此 即為銲墊,以作為接


線使用。



銲墊通 常分佈再晶粒之四個週邊上


(以


粒封裝時的銲線作業)


,其形狀多為正


方形


,亦有人將第一焊線點作成 圓形,


以資辨識


。銲墊因為要作接線,其上得

< br>護層必須蝕刻掉



故可在銲墊上清楚地

< br>看到“開窗線”



而晶粒上有時亦可看

< br>到大塊的金屬層



位於晶粒內部而非四

< br>周,其上也看不到開窗線,是為電容。





自然元素之一


由五個質子及六個中子


所組成。所以原子量是

< p>
11


。另外有同


位素


,< /p>


是由五個質子及五個中子所組成


原子量是


10



B


10



。自然界中這兩種同


位素之比例是


4



1


,可由磁場質譜分


析中看出,是一種


P-type


的離子



B


11




,用來作場區、井區、


VT



S/D


植入。









BPSG


乃介於


Poly


之上



Metal


之下,




可做為上下兩層絕緣之用


,加硼、磷主


要目 的在使回流後的


Step


較平緩,以


防 止


Metal


line


濺鍍上去後, 造成斷


線。



崩潰電壓



反向


P-N


接面元件所加之電壓為


P


接< /p>


負而


N


接正,如為此種接法則當所加


電壓通在某個特定值以下時反向電流


很小,而當所加電壓值大於此特 定值




反向電流會急遽增加,此特定 值也













26


BURN IN


預燒試驗



27


CAD


電腦輔助設計




BREAKDOWN VOLTAGE



一般吾


人所定義反向


P

< p>



-


N


接面之反向電


流為


1UA


時 之電壓為崩潰電壓,在


P




- N




N



-P


之接回元件中崩潰電

< p>
壓,隨著


N


(或者


P



之濃度之增加而


減小。

< br>


「預燒」



Burn in< /p>



為可靠性測試的一


< br>,


旨在檢驗出哪些在使用初期即損壞


的產品,而在出貨前 予以剔除。



預燒試驗的作法,乃是將元件(產品)

< p>
至於高溫的環境下



加上指定的正向或

< p>
反向的直流電壓



如此殘留在晶粒上氧

< p>
化層與金屬層之外來雜質離子或腐蝕











使

< br>故






Failure Mode



提早 顯現出來,達到


篩選、剔除


「早期夭折」產品之目的。



預燒試驗分為


「靜態預燒」

< br>(


Static Burn


in




「動態預燒」



Dynamic Burn in



兩種


,前者在試驗時,


只在元件上加上


額定的工作電壓即 消耗額定的功率




後者除此外並有模 擬實際工作情況的


訊號輸入


,故較接近實際狀況,也較嚴


格。



基本上


,每一 批產品在出貨前,


皆須作


百分之百的預燒試驗

< br>,


馾由於成本及交


貨其等因素


, 有些產品舊祇作抽樣


(部


分)


的預燒試 驗,通過後才出貨。另外


對於一些我們認為它品質夠穩定且夠


水 準的產品


,亦可以抽樣的方式進行,


當然


,具有高信賴度的產品,皆須通過


百分之百的預燒試驗。



CAD



Computer Aided Design


電腦輔助設計


< br>此名詞所包含的範圍很




可泛 稱一切電腦為工具,所進行之


設計;因此不僅在


IC

< p>
設計上用得到,


建築上之設計,飛機、船體之設計,都

可能用到。



在以往電腦尚未廣泛應用時

< br>,


設計者必


須以有限之記憶


、經 驗來進行設計,可


是有了所謂


CAD


後 ,


我們把一些常用


之規則


、經驗存入電 腦後,後面的設計




變可節省不少從 頭摸索的工作,如


此不僅大幅地提高了設計的準確度



使


設計的領域進入另一新天地。



28


CD


MEASUREME


NT


微距測試



29


CH3COOH


醋酸



30


CHAMBER


真空室


,


反應室



31


CHANNEL


通道



32


CHIP ,DIE


晶粒



CD: Critical Dimension


之簡稱。



通常於 某一個層次中



為了控制其最小


線距< /p>



我們會製作一些代表性之量測圖


形於晶 方中,通常置於晶方之邊緣。



簡言之



微距測量長當作一個重要之製


程指標


,可代表黃光製程之控制好壞。



量測


CD


之層次通常是對線距控制較



要< /p>









< p>


POLY



CONT< /p>



MET


…等,而目前較常用於


測量之圖形有品字型,


L-BAR


等。



ACETIC


ACID

< br>醋


酸澄






體、有刺激性氣味、熔點< /p>


16.63


℃、沸


118


℃。與水、酒精、乙醚互溶。可


< br>。


冰醋酸是


99.8


﹪以上之純 化物,有


別於水容易的醋酸食入或吸入純醋酸


有中等的毒性,對 皮膚及組織有刺激


性,危害性不大,被濺到用水沖洗。



專指一密閉的空間


,常有特殊的用途:


諸如抽 真空


、氣體反應或金屬濺度等。


針對此特殊空間之種種外在或內 在環


境:例如外在粒子數(


particle

< br>)


、溼度


及內在溫度、壓力、氣體流量、粒子數


等加以控制


。達到晶片最佳反應條件。



當在


MOS


電晶體的閘極上加上電壓



PMOS


為負,


NM OS


為正)


,則閘極


下的電子或電洞會 被其電場所吸引或


排斥而使閘極下之區域形成一反轉層



Inversion Layer



,也就是 其下之半


導體


P-type


變成


N-type


Si



N-type


變成


P-type


S i


,而與源極和汲極,我


們舊稱此反轉層為“通道”。









Channel < /p>


Length




MOS


元件的參數有著極重要的影響,


故我們對


POLY


CD


的控制需要非常

謹慎。



一片晶片(


OR


晶圓,即


Wafer


)上有

許多相同的方形小單位



這些小單位及

稱為晶粒。



同一晶片上每個晶粒都是相同的構

< p>



具有相同的功能,


每 個晶粒經包裝




可製成一顆顆我們日 常生活中常見



IC



故每一晶片所能製造出的


IC



量是很可觀的,從幾百個到幾千個不



同樣地,如果因製造的疏忽而產生


33


34


35


的缺點,往往就會波及成百成千個產

品。



截子生命週期



一、



定義



CLT



CARRIER


少數戴子再溫度平均時電子被束


LIFE TIME




縛在原子格內,當外加能 量時,電


子獲得能量,脫離原子格束縛,形


成自由狀態而參與電 流島通的的


工作,但能量消失後,這些電子


/

< br>電洞將因在結合因素回復至平衡


狀態


,因數當這些載子由 被激發後


回覆平衡期間,稱之為少數載子


LIFE TIME




二、


應用範圍



1.


評估盧管和清洗槽的乾淨度



2.


針對晶片之清潔度及損傷程度對


CLT


值有影響為



A.

晶片中離子污染濃度及污染之


金屬種類



B.


晶片中結晶缺陷濃度


< p>
















MO S



CMOS




METAL-OXIDE


SEMICONDUCTOR


)其製程程式及


先在單晶矽上形成絕緣氧化膜



再沈積


一層複晶矽


(或金屬)


作為閘極,利用


家到閘極的電廠來控制


MOS


元件的開


關(導電或不導電)


。按照導電載子的


種類,

< br>MOS




,又可分成兩種類型 :


NMOS


(由電子導電)和


PMOS


(由




導< /p>









< p>






CMOSCOMPLEMENTARY MOS


)< /p>


則是由


NMOS



PMOS


組合而成




有省電



抗雜訊能力強


、< /p>


α


-PARTICLE


免疫力好等許多優 點



是超大型積體電


路(


VLSI


)的主流。



光阻覆蓋



將光阻劑以浸泡、噴霧、刷怖、或滾壓


COATING


等方法加於晶片上,稱為光阻覆蓋。



目前效果最佳的方法為旋轉法



旋轉法


乃是將晶片以真空吸附於一個可旋轉


的晶片支援器上



適量的光阻劑加在晶


片中央


,然後晶片開始轉動 ,晶片上的


光阻劑向外流開,很均勻的散在晶片




要得到均勻的光阻膜,旋轉速度必


須適中穩定



而旋轉速度和光阻劑黏滯


性絕應所鍍光阻 劑的厚度。



36


CROSS


SECTION


橫截面



37


C-V PLOT


電容


,


電壓圓



38


CWQC


全公司品質管制



光阻劑加上後


,必須經過軟烤的步驟,


以除去光阻劑中過多的溶劑

< br>,


進而使光


阻膜較為堅硬



同時增加光阻膜與晶片


的接合能力的主要方法就是在於適當


調整軟烤溫度與時間。



經過了以上的鍍光阻膜 即軟烤過程




就是完成了整個光阻覆 蓋的步驟。



IC


的製造基本上是由一 層一層的圖案


堆積上去,而為了瞭解堆積圖案的構


< p>


以改善製程或解決製程問題,經常


會利用破壞性 切割方式以電子顯微鏡



SEM


)來觀 察,而切割橫截面、觀


察橫截面的方式是其中較為普遍之一


種。



譯意為電容


、電壓圖:


也就是說當元件


在不同狀況下


在閘極上施以某一電壓




會產生 不同之電容值


(此電壓可為


正或負)


, 如此元件為理想的元件;也


就是閘極和汲極間幾乎沒有雜質在裡




COMTAMINATION


)< /p>


。當外界環


境改變時(溫度或壓力)


,並 不太會影


響它的電容值,利用此可


MONITOR


MOS


元件之好壞



一般△


V



0.2

< p>
為正


常。



以往有些經營 者或老闆



一直都認為品


質管制是品管 部門或品管主管的責




遇到品質管制 做不好時,即立即指


責品質主管,這是不對的。



品質管制不是品質部門或某一單位就


可以做好的


,< /p>


而是全公司每一部門全體


人員都參與才能做好


固品質管制為達


到經營的目的



必須結合公司內所有部


門全體人員協力合作


構成一個能共同


認識


,亦於實施 的體系,並使工作標準




且使所定的 各種事項確實實行,使


自市場調查



研 究



開發



設 計



採購、


製造、檢查、試驗、出貨、 銷售、服務


為止的每一階段的品質都能有效的管


理,這就是所謂 的全公司品質管制



Company


Wide


Quality


Cont rol



。實施


CWQC


的目的最主要


的就是要改善企業體質



即發覺問題的


體質


、重視計劃的體質、重點指向的體




重視過程的體質,


以及全員有體系


39


CYCLE TIME


生產週期時間



40


CYCLE TIME


生產週期



41


DEFECT


DENSITY


缺點密度



導向的體質。



指原料由投入生產線到 產品於生產線


產生所需之生產


/


製造時 間。




TI- ACER


,生產週期有兩種解釋:
















WAFER-OUT CYCLE TIME






一為“製程週期時間”(


PROCESS


CYCLE TIME



< p>
“晶片產出週期時間”乃指單一批號


之晶片由投入到產出所需之生產


/



造時間。




製程週期時間



則指所有晶片於單一


工站平均生產


/


製 造時間,而各工站


(從頭至尾)平均生產


/

製造之加總極


為該製程之製程週期時間。



目前


TI-ACER


LINE


REPORT


之生


產週期時間乃採用 “製程週期時間”




一般而言



生產週期時間可以下列公式


概略推算之:< /p>



生產週期時間


=


在製品(


WIP



/


產能



THROUGHOUT




IC


製造流程複雜,且其程式很長 ,自


晶片投入至晶圓測試完成,謂之


Cycle


Time




由於


IC


生命週期很短,自開發、生產


至銷售,需要迅速且能掌握時效,故


Cycle


Time


越短,競爭能力就越高,


能掌握產品上市契機



就能獲取最大的


利潤。



由於


Cycle Time



,不容許生產中的


晶片因故報廢或重做



故各項操作過程


都要依照規範進行



且要做好故障排除


讓產品流程順利,早日出


F IB


上市銷


售。


〝缺點密度〞


係指晶片單位面積上


(如

每平方公分



每平方英吋等)


有多 少


〝缺


點數〞


之意,此缺點數一般可分 為兩大


類:


A.


可視性缺點

< p>
B.


不可視性缺點。


前者可藉由一般光學顯微鏡檢 查出來


(如橋接、斷線)


,由於晶片製造過程

< br>甚為複雜漫長


,晶片上缺點數越少,產


品量率品質必然越 佳,故〝缺點密度〞


常備用來當作一個工廠製造的產品品


質好壞 的指標。



42


DEHYDRATI


ON BAKE


去水烘烤



43


DENSIFY


密化



44


DESCUM


電漿預處理



45


DESIGN


RULE


設計規範



目的:



去除晶片表面水分

< p>
,增加光阻附著力。


以免晶片表面曝光顯影後光阻掀起。

< br>


方法:



在光阻覆蓋之前,利 用高溫(


120


℃或


150

< p>
℃)加熱方式為之。



CVD









積< /p>






THIN


FILM


之密度很低)

< p>
,故以高


溫步驟使薄膜中之分子重新結合



以提


高其密度


,此種高溫步驟即稱為密化。< /p>


密化通常以爐管在


800


℃以上的溫度< /p>









< p>








RTP



RAPID


THERMAL


PROCESS


)完成。


< p>
1.



漿






< br>利




漿





Plasma



,將晶片表面之光阻加以


去除


,但其去光阻的時間,較一般電


漿光阻去除(


Strippi ng


)為短。其


目的只是在於將晶片表面之光阻因


顯影預烤等製程所造成之光阻毛邊


或細屑(


Scum


)加以去除,以使圖


形不失真



蝕刻出來之圖案不會有殘


餘。



2.



有關電漿去除光阻之原理,請參 閱


「電漿光阻去除」



Ashing< /p>





3.



通常作電漿預處理,均以較低之 壓


力,及小之功率為之,也就是使光


阻之蝕刻率降低得很低,使 得均勻


度能提高,以保持完整的圖形,達


到電漿預處理的目的。



由於半導體製程技術


,係一們專業、 精


緻又複雜的技術



容易受到不同製造 設


備製程方法(


RECIPE


)的影響 ,故在


考慮各項產品如何從事製造技術完



成功地製造出來時,需有一套規範










定< /p>






DESIGN RULE



,其係依照各種不


同產品的需求


、規格,


製造設備及製程


方法


、製程能力、各項相關電性參數規


格等之考慮,訂正了如:



1.



各製程層次、線路之間距離、線寬


等之規格。



2.



各製程層次厚度、深度等之規格。



3.



各項電性參數等之規格。



46


EDSIGN


RULE


設計準則



47


DIE


BY


DIE



FIELD


均對準



ALIGNMENT


DIFFUSION


擴散



48


49


DI WATER


去離子水



以供產品設計者及製程技術 工程師等


人之遵循、參考。



設計準則


EDSIGN RULE


:< /p>


反應製程能


力及製程元件參數,以供


IC


設計者設



IC


時的參考準則。



一份完整的


Design


Rule< /p>


包括有下


列各部分:


< br>A.


製程參數:如氧化層厚度、複晶、


金屬層厚度等,其 他如流程、


ADI



AEI




參數


。< /p>


主要為擴散與黃光兩方面的


參數。



B.


電氣參數



提 供給設計者做模擬電


路時之參考。



C .


佈局參數:及一般所謂的


3


μ


m



2


μ

< p>
m



1.5


μ

< p>
m


…等等之


Rules


, 提


供佈局原佈局之依據。



D.


光罩製作資料:提供給光罩公司


做光罩時之電腦資料

< br>,



CD BAR


< p>
測試鍵之擺放位置



各層次之相對位


置之擺放等。



每個


Fie ld


再曝光前均針對此單一


Field


對準之方法稱之;也就是說每個


Field


均要對準。



在一杯很純的水上點一滴墨水



不久後


可發現水表面顏色漸漸淡去



而水面下


漸漸染紅


,但顏色是越來越淡,這即是


擴散的一例



在半導體工業上常在很純


的矽晶片上以預置或離子佈植的方式


作擴散源(即紅墨水)

< p>
。因固態擴散比


液體擴散慢很多(約數億年)


,故 以進


爐管加高溫的方式



使擴散在數小 時內


完成。



IC

製造過程中,常需要用鹽酸容易來


蝕刻


、清洗晶片。這些步 驟之後又需利


用水把晶片表面殘留的鹽酸清除



故水


的用量相當大。



然而< /p>


IC


。工業用水,並不是一般的自


來水或 地下水



而是自來水或地下水經


過一系 列的純化而成



原來自來水或地


下水中 含有大量的細菌、金屬離子級


PARTICLE


,經廠務的設備 將之殺菌、


過濾和純化後



即可把金屬 離子等雜質


去除,所得的水即稱為〝去離子水〞



50


DOPING


51


DRAM


SRAM


52


DRIVE IN


專供


IC


製造之用。



參入雜質



為使元件運作


,晶片必須參以雜質,一


般常用的有:



1.


預置:在爐管內通 以飽和的雜質蒸




使晶片表面有一高 濃度的雜質層,


然後以高溫使雜質驅入擴散


< br>或利用沈


積時同時進行預置。



2.


離子植入:先使雜質遊離,然後加速


植入晶片。

< p>


,


動態


,

< p>
靜態隨機存


隨機存取記憶器可分動態及靜態兩


種< /p>



主要之差異在於動態隨機存取記憶


取記 憶體



體(


DRAM

< br>)


,在一段時間(一般是


0.5ms


5ms


)後,資料會消失,故必


須在資料未消失前讀取元資料再重寫



refresh



,此為其最大缺點,此外速


度較慢也是其缺點 ,而


DRAM


之最大


好處為,其每一記 憶單元(


bit


)指需




Transistor







加< /p>




Capacitor


(電容器)


,故最省面積,而


有最高之密度。而


SRAM


則有不需重


寫、速度快之優點,但是 密度低,每一


記憶單元(


bit


)有兩 類:


A.


需要六個


Transisto r



電晶體)



B.


四個


Transistor


(電 晶體)加兩個


Load


resistor

(負


載電阻)




由於上述之優缺點,


DRAM


一般皆用



PC


(個人電腦)或其他不需高速且


記憶容量大之記憶器,而


SRAM


則用


於高速之中大型電腦或其他只需小記


憶容量。如監視器(


Monitor



、印表


機(


Printer


)等週邊控制或工業控制


上。



驅入



離子植入(


ion implantation


)雖然能


較精確地選擇雜質數量


但受限於離子


能量,無法將雜質打入晶片較深(


um


級)


的區域,因此需藉著原子有從高濃


度往低 濃度擴散的性質



在相當高的溫


度去進 行



一方面將雜質擴散道教深的


區域< /p>


,且使雜質原子佔據矽原子位置,


產生所要的電性



另外也可將植入時產


生的缺陷消除。此方法稱之驅入 。



在驅入時


,常通入一些氧氣,因為 矽氧

















Vacancy



,這些缺陷會有助於雜質


53


E-BEAM


LITHOGRAP


HY


電子束微影技術



54


早期故障率



EFR



EARLY


FAILURE


RATE




55


ELECTROMI


GRATION


電子遷移



原子的擴散速度

< p>
。另外,由於驅入世界


原子的擴散,因此其方向性是各方均













(< /p>


out-diffusion



,這是需 要注意的地


方。



目前晶片製作中所使 用之對準機



其曝


光光源波長約為(< /p>


365nm



436nm




其可製作線寬約


1?



IC


圖形。但當


需製作更細之圖形時,則目前之對準




受曝光光源波長之限制,而無法達




因此在次微米之微影技術中,及有


用以電子數為曝光光源者



由於電子束


波長甚短(~


0.1A



,故可得甚佳之解


析度,作出更細之


IC


圖型,此種技術


即稱之電子束微影技術 。



電子束微影技術



目前已應用於光罩製


作上


,至於應用於光晶片製作中, 則仍


在發展中。



Early


Failure


Rate


是產品可靠 度指


標,意謂


IC


到客戶手中使用其可 能發


生故障的機率。




DRAM




< p>







BURN-IN


高溫高壓 測試後,體質不佳


的產品便被淘汰。



為了確定好的產品其考靠度達到要


求,所以從母批中取樣本做可靠度測

< br>試



試驗中對產品加高壓高溫,催使不

< br>耐久的產品故障



因而得知產品的可靠

< br>度。



故障機率與產品生命週期之關係類似


浴缸,稱為


Bathtub Curve.


所謂電 子遷移



乃指在電流作用下金屬


的質量 會搬動



此係電子的動量傳給帶


正電之 金屬離子所造成的。



當元件尺寸越縮小時


相對地電流密度


則越來越大


;< /p>


當此大電流經過積體電路


中之薄金屬層時



某些地方之金屬離子


會堆積起來


,< /p>


而某些地方則有金屬空缺


情形


,如此一來 ,堆積金屬會使鄰近之


導體短路


,而金屬空缺則會引起斷路。< /p>



材料搬動主要原動力為晶界擴散



有些


方法可增加鋁膜導體對電遷移之抗


力, 例如:與銅形成合金,沈積時加氧


等方式。



56


ELECTRON/


HOLE


57


58


59

< br>電子是構成原子的帶電粒子



帶有一單

< br>位的負電荷



環繞在原子核四週形成原

< br>子。



墊洞是晶體中在原子核間的共用電




因受熱幹擾或雜質原子取代,電子


離開原有的位置所遺留下來的“空


缺”因缺少一個電子,無法維持電中


性,可視為帶有一單位的正電荷。



將已知波長 之射入光分成線性偏極或


ELLIPSOMET


橢圓測厚儀



ER


圓偏極


, 照射在待射晶片,利用所得之




橢< /p>









< p>




Fourier< /p>


分析及


Fresnel


方程式,求得


待測晶片模厚度










當電流經過金屬導線



使金屬原子獲得


EM



ELECTRO


測試




量< /p>



沿







GRAIN


MIGRATION


Bounderies

< br>)擴散(


Diffusion



,使金


TEST



< br>屬線產生空洞(


Void



,甚 至斷裂,形


成失效。



其對可靠度評估 可用電流密度線性模


型求出:



AF=




J


str ess



/J



op




n


×


exp



Ea/Kb






1/T



op


)< /p>


- 1/T



stress





< br>TF=AF


×


T



stress




在電漿蝕 刻中


,利用其反應特性,特別


END


POINT


終點偵測器



DETECTOR


設計用以偵測反應何時完成的一種裝


置。



一般終點偵測可分為下列三種:



A.


雷射終點偵測器(


Laser Endpoint




< p>
Detector







利用雷射光入射反應物

< p>
(即晶片)








當時顆 發生時,反應層之厚度會逐


漸減少,因而反射光會有幹擾訊號產





當蝕刻完成時,所接收之訊號亦已



停止變化,即可測得終點。



B.











Optical


Emission End Point Detector






用一光譜接受器


< br>接受蝕刻反應中某


一反應副產物(


Byproduct< /p>


)所激發之






當蝕刻反應逐漸完成,此副產物減




光譜也漸漸變弱,


即可偵測得其終



點。



C.


時間偵測器:直接設定反應時間,當


時間終了,即結束其反應。



電子


/


電洞



60


ENERGY


能量



61


62


EPI WAFER


EPROM



ERASABLE-< /p>


PROGRAMM


ABLE ROM




63


ESD


ELECTROSTA


TIC DAMAGE


ELECTROSTA


TIC


DISCHARGE


能量是物理學之專有名詞。例如:


B



A


之電壓正


100


伏,若在


A


板上有一


電子受


B


版正電吸引而加速跑 到


B


版,這時電子在


B


版就比在


A


版多了


100


電子伏特的能量。



磊晶晶片



磊晶係在晶體表面成長一層晶體。










MASK


ROM


內所存的資料,是在



記憶體



FAB

內製造過程中便已設定好,製造


完後便無法改變



就像任天堂遊戲卡內



MASK ROM



存的是金牌瑪麗就無


法變成雙截龍。




EPROM


是在


ROM


內加一個特殊


結構叫

< p>
A FAMDS



它可使


ROM


內的


資料保存


,但當紫外光照到 它時,它會


使



ROM


內的資料消失。每一個晶憶


單位都歸口



然後工程人員再依程式的


規範,用


30


瓦左右的電壓將


0101….


資料灌入每一個記憶單 位



如此就可灌


電壓

< br>、紫外光重複使用,存入不同的資



< br>也就是說如果任天堂卡內使用的是


EPROM


,那麼你打 膩了金牌瑪麗,然


後灌雙截龍的程式進去


卡匣就變成雙


截龍卡,不用去交換店交換了。



靜電破壞



1


自然界之物質均由原子組成


,而原子


靜電放電

< br>


又由質子


、中子及電子組成。在正常

< br>狀態下


,物質成中性,


而在日常活動

中,會使物質失去電子,或得到電



,此即產生一靜電,< /p>


得到電子之物


質為帶負靜電,失去電子即帶正靜

< br>電



靜電大小會隨著日常的工作環境

而有所不同。如下表所示。



活動情形















Volt




10



20


﹪ 相對溼度



65-95


﹪相對溼度




1


日常工作所產生的靜電強度表



2.< /p>


當物質產生靜電後,隨時會放電,弱


放到子元件上,例如


IC


,則會將元


件破壞而使不能正常工作



此即為靜


電破壞或靜電放電。



走過地毯



走過塑膠地板



在以子上工作








夾,袋



拿起塑膠帶



工作椅墊摩擦



35,000


12,000


6,000


7,000



20,000


18,000


1,500


250


100


600



1,000


15,000


64


ETCH


蝕刻



65


EXPOSURE


曝光



66


FABRICATIO


N



FAB




製造



3.


防止靜電破壞方法有二:



A.


在元件設計上加上靜電保護電


路。



B.


在工作環境上減少靜電



例如工作


桌之接地線


,測試 員之靜電環。載運


送上使用防靜電膠套及海綿等等。



在積體電路的製程中



常需要將整個電


路圖案定義出來



其製造程式通常是先


長出或蓋上一層所需要之薄膜



在利用


微影技術在這層薄膜上



以光阻定義出


所欲製造之電路圖案



再利用化學或物


理方式將不需要之部分去除



此種去除


步驟便稱為蝕刻(


ETCH


< br>














WET


ETCH



及乾性蝕刻



DRY ETCH


)< /p>





所謂乾性 蝕刻乃是利用化學品


(通


常是鹽酸)


與 所欲蝕刻之薄膜起化學反




產生氣體 或可溶性生成物,達到圖


案定義之目的


。而所謂乾蝕刻,則是利


用乾蝕刻機台產生電漿



將所欲蝕刻之


薄膜反映產生氣體由


PUMP


抽走,達


到圖案定義之目的。



其意義略同於照相機底片之感光



在積 體電路之製造過程中



定義出精細


之光 組圖形為其中重要的步驟



以運用


最廣 之


5X


STEPPER


為例,其方式 為


以對紫外線敏感之光阻膜作為類似照


相機底片



光罩上則有我們所設計之各


種圖形,以特殊波長之光 線(


G-LINE


436NM


)照射 光罩後,經過縮小鏡片



REDUCTION LENS



光罩上之圖形


則成


5


倍縮小,精確地定義在底片上


(晶片上之光阻膜)

< p>


經過顯影後,即可將照到光(正光阻)


之光阻顯 掉



而得到我們想要之各種精


細圖形, 以作為蝕刻或離子植入用。



因光阻對於某特定波長之光線特別 敏




故在黃光室中早將一切照明用光 元


過濾成黃色



以避免泛白光源中含有 對


光阻有感光能力之波長成分在



這一 點


各相關人員應特別注意



否則會發生 光


線污染現象,而擾亂精細之光阻圖。



Fabrication


為“裝配”或“製造”之


意,與


Manufacture


意思一樣,半導


6 7


FBFC



FULL


全功能晶片



BIT


FUNCTION


CHIP




68


FIELD/MOA


T


場區



69


FILTRATION


過濾



70


FIT



FAILURE



IN TIME




71


FOUNDRY


客戶委託加工



72


FOUR


POINT


四點偵測



PROBE


體製造程式,其步驟繁多,且製程複



< p>
需要有非常精密的設備和細心的作


業,才能達到吳缺點的品質。

< p>


FAB



Fabric ation


之縮寫,指的是


“工廠”之意



我們常稱


FIB


為“晶圓


區”,例如:進去“


FAB


”之前需穿上


防塵衣。



由於產品上會有缺陷



所以有些晶片無


法全功能工作


因此須要雷射修補前測




以便找到缺陷位置及多寡,接著就


能利用雷射修補

< br>,


將有缺陷的晶片修補


成全功能的晶片。


《當缺陷超過一定限


度時,無法修補成全功能晶片》



FIELD


直譯的意思是〝場〞


,足球 場和


武道場等的場都叫做


FIELD


。 它的含


意就是一個有專門用途的區域。




IC


內部結構中,有一區域是隔離電


場的地方


,通常介於兩個


MOS


電晶 體


之間


,稱為場區。場區之上大部分會長


一層厚的氧化層。



用過濾器(


FI LTER


,為一半透膜折疊


而成)將液體或氣體中的雜質給過濾


掉,此稱為


FILTRATION


【< /p>


過濾





IC


製造業對潔淨式的要求是非常嚴


格的< /p>


,故各種使用的液體或氣體,必須


藉著一個


PUMP


製造壓差來完成,如


何炫則一組恰當的過濾器及


PUMP



首要的課題。

< br>


FIT


適用以表示產品可靠度的單位



FIT=1Eailure in 10


9



Device-Hours


例如


1000


Device


工作


1000Hours


< br>1 Device


故障



則該產 品的可靠度為:



1Failure



/



1000


Devices*1000


Hours



=1000 FITs


客戶委託加工主要是接受客戶委託




產客戶自有權利的產品



也就是客戶提


供光罩,由


SMIC


來生產製造,在將


成品出售給客戶,指收取代工過程費




這種純粹代工,不涉及銷售的方式


在國際間較通常的稱呼就是 矽代工



Silicon Foundry





·是量測晶片片阻值



Sheet R esistance



RS


的儀器。< /p>



·原理如下:



73


74


75


76



ABCD

四針,


A



D

間通以電流


I



B



C


兩針量取電壓差(△


V< /p>






RS=K.



V/I



K


是常數比例和機台及針尖距離有關



超音波清洗



超音波清洗的主要目的是 用來去除附


F/S



FINESONI C


著在晶片表面的灰塵,其反應機構有


CLEAN

< p>



二:



1.




學< /p>







SC-1




NH4OH



H2O2


Silicon


表面反


應,將灰 塵剝除。



2.


2.


物理作用:利用頻率


800KHz


功率


450W


×


2


的超音波震盪去除灰


塵。










FTIR< /p>


乃利用紅外線光譜經傅利葉轉換


FTIR


光譜分析儀



進而分析雜質濃度的光譜分析儀器。



目的:



·


已 發展成熟,可


Routine


應用者,計






有:






/PSG


之含磷、含硼量預


測。






B.


晶片之含氧、含碳量預測。






C.


磊晶之厚度量測。



·發展中需進一步


Setup


者有:






A.


氮化矽中氫含量預測。






B.


複晶矽中含氧量預測。






C.


光阻特性分析。



FTIR


為一極便利之分析儀器,


STD



建立為整個量測之重點



由於其中多利


用光學原理、晶片狀況(


i.e.


晶背處理


狀況)對量測結果影響至鉅。



FTY



FINAL



在晶圓出廠後


必須經過包裝及


T1




TEST YIELD



/


短路測試)



Burn -in


(燒結)



T3


(高


溫功能測試)



T4

< p>
(低溫功能測試)



QA


測試,方能銷售、出貨至客戶手




在 這段漫長而繁雜的測試過程中,


吾人定義


Final


Test


Yield


為:


T1


Yield*


Burn



in


Yield*T3


Yield*T4


Yield



成因為矽化物之氧化



尤其是以水蒸氣


FUKE



DEFECT


去緻密化

< p>
PBSG


時會發生,造成閘極


< br>Poly Gate


)與金屬間的短路。






< br>氧












TiSi2




1.



熱力學觀點


SiO2


是最穩定,故


Si


77


78


79


80


81


擴散至

< br>TiSi2


之表面時會與水反應



SiO2


而非


TiO2


< p>


2.



動力學觀點而言




Si


不足 時則會


形成


TiO2


而將


TiSi2


分解。



GATE OXIDE


閘極氧化層



GATE OXIDE



MOSFET


(金氧半場


效電晶體)


中相當重要的閘 極之下的氧


化層


。此氧化層厚度較薄,且品質要求


也較嚴格。



用來控制氣體壓力之控制裝置



通常閘


GATE VALVE


閘閥



閥開啟越大


氣體於反應室內呈現之壓


力較低;反之,開啟越小,壓力 較高。



GEC



GOOD

< br>優








能夠合於規格書(

< p>
Data Book


)上所定


義電器特性的晶片< /p>



這些晶片才能被送


ELECTRICA L




CHIP




往晶片包裝工廠製成成品銷售給客戶




GETTERING


吸附



―Gettering‖


係於半導體製程中,由於


可能受到晶格缺陷(


Crystal


Defect



或金屬類雜質污染等之影響



造成元件








< br>漏





Junction


Leakage


)存在,而影響元件特性 ;如


何將這些晶格缺陷



金屬雜質摒除 解決















‖Gettering‖


吸附。吸附一般又可 分





部< /p>






---Intrinsic


Gettering











---Extrinsic


Getteri ng


。前者係在下線製造之前先


利用特殊高溫步驟讓晶圓表面的


「晶格


缺陷或含氧量」


盡量降低。後者 係利用









背< /p>









POCl3< /p>


)預置


ETC


將晶圓表面的缺

< p>
陷及雜質等盡量吸附到晶圓背面



兩者

< p>
均可有效改善上述問題。



G-LINE


G-


光線



G -line


係指一種光波的波長



多係 水銀


燈所發出之光波波長之一,其波長為


436nm

< p>



G-line


之光源



最常作為


Stepper

< p>
所用


之水銀燈



本來係由 許多不同之波長的


光組成,利用一些


Mirror



Filter





過濾的結果,會將其他波長之光過


濾掉,僅 餘


G-line


作為曝光用。使用


單一 波長作為曝光光源可以得到較佳


的能量控制和解吸力



但由於其為單色












Stand ing



Wave


)對光阻圖案產生 很大的影響。


在選擇最佳光阻厚度,以府合駐波效

-


-


-


-


-


-


-


-



本文更新与2021-02-02 17:26,由作者提供,不代表本网站立场,转载请注明出处:https://www.bjmy2z.cn/gaokao/601577.html

IC制程专业词汇的相关文章

  • 爱心与尊严的高中作文题库

    1.关于爱心和尊严的作文八百字 我们不必怀疑富翁的捐助,毕竟普施爱心,善莫大焉,它是一 种美;我们也不必指责苛求受捐者的冷漠的拒绝,因为人总是有尊 严的,这也是一种美。

    小学作文
  • 爱心与尊严高中作文题库

    1.关于爱心和尊严的作文八百字 我们不必怀疑富翁的捐助,毕竟普施爱心,善莫大焉,它是一 种美;我们也不必指责苛求受捐者的冷漠的拒绝,因为人总是有尊 严的,这也是一种美。

    小学作文
  • 爱心与尊重的作文题库

    1.作文关爱与尊重议论文 如果说没有爱就没有教育的话,那么离开了尊重同样也谈不上教育。 因为每一位孩子都渴望得到他人的尊重,尤其是教师的尊重。可是在现实生活中,不时会有

    小学作文
  • 爱心责任100字作文题库

    1.有关爱心,坚持,责任的作文题库各三个 一则150字左右 (要事例) “胜不骄,败不馁”这句话我常听外婆说起。 这句名言的意思是说胜利了抄不骄傲,失败了不气馁。我真正体会到它

    小学作文
  • 爱心责任心的作文题库

    1.有关爱心,坚持,责任的作文题库各三个 一则150字左右 (要事例) “胜不骄,败不馁”这句话我常听外婆说起。 这句名言的意思是说胜利了抄不骄傲,失败了不气馁。我真正体会到它

    小学作文
  • 爱心责任作文题库

    1.有关爱心,坚持,责任的作文题库各三个 一则150字左右 (要事例) “胜不骄,败不馁”这句话我常听外婆说起。 这句名言的意思是说胜利了抄不骄傲,失败了不气馁。我真正体会到它

    小学作文