-undercover
资料收集于网络,如有侵权
请联系网站删除
半导体词汇缩写表
A/D
AA
AAS
ABC
ABM
AC
ACF
ACI
ACP
ACT
ADC
ADE
ADI
ADT
ADTSEM
AE
AEC
AECS
AEI
AEM
AES
AFM
AFP
Ag
A-GEMTF
AGV
AHF
AHU
AIR
Al
ALD
ALE
ALS
AMC
AMHS
AMT
analog to
digital
atomic absorption
atomic absorption spectroscopy
activity-based costing
activity-based management
alternating current; activated carbon
anisotropic conductive film
after-clean inspection
anisotropic conductive paste
alternative control techniques; actual
cycle time
analog-to-digital converter
advanced development environment
after-develop inspection
applied diagnostic technique
Apply/Develop Track Specific Equipment
Model
atomic emission;
acousticemission; absolute ellipsometry
advanced equipment controller
Advanced Equipment ControlSystem;
Automated Equipment
Control System
after-etch inspection; automated
equipment interface
analytical electron
microscopy
Auger emission/electron
spectroscopy
atomic force microscopy
abrasive-free polish
silver
Advanced GEM Task Force
automated guided vehicle
anhydrous hydrogen fluoride
air handling unit
automated
image retrieval
aluminum
atomic layer deposition
atomic layer epitaxy; application logic
element
advanced light source; advanced
low-power Schottky
airborne molecular
contamination
automated material
handling system
advanced manufacturing
technology
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
AMU
ANN
ANOVA
AOV
AP
APA
APC
APCD
APCFI
APCVD
APEC
API
APM
APRDL
aPSM
AQI
AQL
Ar
AR
ARAMS
ARC
ARDE
ARPA
ARS
As
AS/RS
ASAP
ASIC
ASO
ASP
ASR
ATDF
ATE
ATG
ATLAS
atm
ATP
atomic mass
unit
artificial neural network
analysis of variance
air-
operated valve
adhesion promoter
advanced performance algorithm
advanced process control
add-on pollution control device
Advanced Process Control Framework
Initiative
atmospheric pressure
chemical vapor deposition
advanced
process equipment control
application
programming interface; atmospheric pressure
ionization
atmospheric
passivation module; acoustic plate mode
Advanced Products Research and
Development Laboratory
attenuating
phase-shift mask
ACCESS query interface
acceptable quality level
argon
aspect ratio
Automated Reliability
antireflective coating
aspect ratio-dependent etching
Advanced Research Projects Agency (see
DARPA)
angle-resolved scattering
arsenic
automated storage
and retrieval system
Advanced Stepper
Application Program
application-
specific integrated circuit
automatic
shutoff
advanced strip and passivation;
advanced strip processor
automated send
receive
Advanced ToolDevelopment
Facility
automatic test equipment
automatic test generation
abbreviated test language for all
systems
atmosphere
advanced
technology program; adenosine triphosphate;
acceptance and tool performance
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
ATR
Att
Au
AVP
AVS
AWE
AWISPM
AWS
B
Ba
BARC
BASE
BAW
BC
BDEV
BDS
Be
BEOL
BESOI
BF
BFGS
BFL
BGA
BHT
Bi
BiCMOS
BIFET
BIM
BiMOS
BIST
BIT
BITE
BMC
BMD
BOE
BOR
BOSS
BOX
BPR
attenuated
total reflectance
attenuated
gold
advanced vertical
processor
advanced visualization system
asymptotic waveform evaluation
above wafer in situ particle monitoring
advanced wet station
billion; boron
barium
bottom antireflective coating
Boston Area Semiconductor Education
(Council)
bulk acoustic wave
bias contrast
behavior-level
deviation
Brownian Dynamics Simulation
beryllium
back end of line
bonded and etchback silicon on
insulator
brightfield
Broyden-Fletcher- Goldfarb-Shanno
optimization algorithm
buffered field-
effect transistor logic
ball grid array
Brinell hardness test
bismuth
bipolar
complementary metal-oxide semiconductor
bipolar field-effect transistor
binary intensity mask
bipolar metal-oxide semiconductor
built-in self-test
bulk ion
temperature
built-in test equipment
bubble memory controller
bulk micro defect
buffered
oxide etchant
bottom of range
Book of SEMI Standards; binary object
storage system
buried oxide
beam profile
reflectometry;
business process
reengineering
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
BPSG
BPTEOS
Br
BSE
BTAB
BV
C
Ca
CA
CAA
CAB
CAD
CADT
CAE
CAI
CAM
CAPS
CAR
CARRI
CASE
CAT
CAW
CAWC
CBGA
CBS
CBT
CC
CCC
CCD
CCSL
CCW
Cd
CD
CD/OL
CDA
CDE
CDEM
CDI
boron
phosphosilicate glass
BPSG from a TEOS
source
bromine
backscattered
electron detection
bumped tape
automated bonding
breakdown voltage
carbon
calcium
CIM architecture
CIM
applications architecture
Competitive
Analysis Benchmarking
computer-aided
design
control application development
tool
computer-aided engineering
computer-assisted instruction
computer-aided manufacturing
computer-assisted problem solving
chemically amplified resist
Computerized Assessment of Relative
Risk Impacts
computer-aided
softwareengineering;
computer-aided
systems
engineering
computer-aided
testing
Construction Analysis Workgroup
cryogenic aerosol wafer cleaning
ceramic ball grid array
chemical bottle storage area
computer-based training
chip
carrier; cluster controller
ceramic
chip carrier
charge-coupled device
compatible current-sinking logic
counterclockwise
cadmium
critical dimension
critical
dimension overlay
clean dry air
chemical downstream etch
Customer Delivery Enterprise Model
collector-diffusion isolation
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
CDM
CDO
CDR
CDS
Ce
CE
CEC
CEE
CEM
CER-
DIP
CFA
CFC
CFD
CFM
CIC
CID
CIE
CIM
CIM-
OSA
CIP
CIS
CISC
Cl
CLCC
CLIC
CM
CMC
CML
CMM
CMOS
CMP
CMR
CNC
CNT
Co
COB
COC
CODEC
Common
Device Model for SAB
controlled
decomposition/oxidation
chemical
distribution room
chemical distribution
system
cerium
capillary
electrophoresis
cell evaluation chip
control execution environment
continuous emissions monitoring
ceramic dual in-line package
component failure analysis
chlorofluorocarbon
computational fluid dynamics
contamination-free manufacturing
cleanroom interface chamber
charge-injection device
computer-integrated engineering
computer-integrated manufacturing
computer-integrated manufacturing-open
systems
architecture (ESPRIT program)
Continuous Improvement Program
Center for Integrated Systems
complex instruction set computer
chlorine
ceramic leaded chip
carrier
closed-loop intensity control
configuration management; cassette
module
cassette module controller
current mode logic
capability maturity model
complementary metal-oxide semiconductor
chemical mechanical planarization
common-mode rejection ratio; cancel
move request
computer numerical
control; condensation nucleus counter
carbon nanotube
cobalt
chip-on-board
cost of
consumables
coder-decoder
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
COED
COGS
CoO
CORBA
CORE
COSS
COT
CoV
Cp
CPD
CPE
CPGA
Cpk
CQFP
CQN
Cr
CRC
CRM
Cs
CSA
CSE
CSF
CSL
CSMA/CD
CSP
CSPED
CST
CSTR
CSV
CTC
CTE
CTI
CTMC
Cu
CUB
CUBES
CUI
CUSUM
computer-
optimized experimental design
cost of
goods sold
cost of ownership
common object request broker
architecture
composite object reference
common object services specification
customer-owned tooling
coefficient of variance
process capability
concurrent product development
Communications
ceramic pin
grid array
process capability index
ceramic quad flat pack
closed-queuing network
chromium
cyclic redundancy
check
Cost/Resource Model
cesium
CIM systems
architecture
control systems
engineering
critical success factor
current-steering logic
carrier-sense
chip-scale
package
concurrent semiconductor
production and equipment
development
CIM systems technology
continuously stirred tank reactor
comma-separated variable
cluster tool controller
coefficient of thermal expansion
cycle time improvement
cluster tool modular communications
copper
central utility
building
capacity utilization
bottleneck efficiency system
common
user interface
cumulative sum
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
CV
CVCM
CVD
CW
Cz
D/A
D/B
DAC
DAS
DASSL
DBMS
DC
DCA
DCATS
DCE
DCL
DCS
DDL
DDMS
DEDS
DES
DF
DFC
DFE
DFM
DFR
DFT
DFY
DHF
DI
DIBL
DIC
DIL
DIP
DLBI
DLOC
DLS
DLT
DLTS
capacitance-
to-voltage
collected volatile
condensable materials
chemical vapor
deposition
continuous wave
Czochralski process
digital
to analog
die bonding
digital-to-analog converter
direct absorption spectroscopy
differential algebraic system solver
database management system
direct current
direct chip
attachment
double-contained acid
transfer system
distributed computer
environment
digital command language;
display communication log
dichlorosilane
device
description language
defect data
management system
discrete-event
dynamic simulation
data encryption
standard; display equipment status
darkfield
densified fluid
clean
dual-frequency etch
design for manufacturing
design for reliability
design for test
design for
yield
dilute hydrofluoric acid
deionized; dielectric isolation
drain-induced barrier leakage
differential interference contrast
dual in-line
dual in-line
package
device-level burn-in
developed source lines of code
display lot status
device-
level test
deep-level transient
spectroscopy
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
DMA
DMH
DML
DMM
DMOS
DMR
DO
DOA
DOAS
DOE
DOF
DOP
DPA
DPM
DPP
DPSRAM
DRAM
DRAPAC
DRC
DRE
DRIFTS
DRT
DSA
DSC
DSMC
DSQ
DSS
DSW
DT
DTA
DTC
DTL
DTM
DTMPN
DUT
DUV
DV
DVER
direct
memory access; dynamic mechanical analysis
display message helps
data
manipulation language; display message log
digital multimeter
diffused
metal-oxide semiconductor
display move
requests
dynamic optimization
dead-on alignment
differential optical absorption
spectroscopy
design of experiments
depth of focus
dioctylphthalate
destructive
physical analysis
digital panel meter
discharge-produced plasma
dual-port static random access memory
dynamic random access memory
Design Rule and Process Architecture
Council
design rule check
destruction removal efficiency
diffuse
reflectance
infrared
Fourier
transform
spectroscopy
defect review tool
display
system activity; dimensionally stable anode
differential scanning calorimetry
direct simulation Monte Carlo
downstream quartz
display
stocker status
direct step-on-wafer
dynamic test
differential
thermal analysis
direct thermocouple
control
diode transistor logic
defect test monitor; delay time
multiplier; device test
module; digital
terrain map
defect test monitor phase
number
device under test
deep ultraviolet
design
verification
design rule verification
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
DVM
DVS
DWG
EAPSM
EAROM
EASE
e-beam
EBHT
EBIC
EBR
EC
ECA
ECAD
ECAE
ECL
ECN
ECO
ECQB
ECR
EDA
EDS
EDU
EDX
EDXA
EEDF
EELS
EEPROM
EFEM
EFOCS
EFTIR
EFV
EGE
EHS
EI
EID
EIP
EIS
digital
voltmeter
display vehicle status
domain work group
embedded
attenuated phase-shift mask
electrically alterable read-only memory
equipment and software emulator
electron beam
electron-beam
high-throughput lithography
electron
beam-induced current
edge bead removal
engineering change; equipment
controller
engineering capability
assessment
electronic computer-aided
design; engineering
computer-aided
design
electronic computer-aided
engineering
emitter coupled logic
engineering change notice
engineering change order
electrochemical quartz crystal balance
electron cyclotron resonance
electronic design automation
energy-dispersive spectroscopy
equipment-dependent uptime
energy-dispersive X-ray
energy-dispersive X-ray analysis
electron energy distribution function
electron energy-loss spectroscopy
electrically erasable programmable
read-only memory
equipment front-end
module
evanescent fiber-optic chemical
sensor
emission Fourier transform
infrared spectroscopy
excess flow valve
ethylene glycol ethers
extremely hazardous substance
equipment integration
Equipment InterfaceDevelopment
Equipment Improvement Program;
Equipment Improvement
Project
electrochemical impedance spectroscopy
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
EKF
ELF
EM
EMA
EMC
EMF
EMG
EMI
EMMA
EMP
EMR
EMU
EOS
EOT
EP
EPL
EPR
EPROM
EPSS
EPT
EQUIP
EQUIP
ERAM
ERM
ERN
ERP
ERS
ERT
ES
ESC
ESCA
ESD
ESH
ESM
ETAB
ETQR
EUV
eV
EWMA
extended
Kalman filter
extremely low frequency
enterprise model; electromagnetic;
electromigration
equipment maturity
assessment
electromagnetic capability;
electromagnetic compatability
electromagnetic field
electromigration
electromagnetic interference
electron microscopy and microanalysis
electromagnetic pulse
enter
move request
electromagnetic unit
electrical overstress
end of
transfer; equivalent oxide thickness
extreme pressure; electropolish
electron projection lithography
electron paramagnetic resonance
electrically programmable read-only
memory
electronic performance support
system
equipment performance tracking
C/I equipment control and integration
RTC equipment real-time control
equipment reliability
enterprise reference model
external recurrent neural network
extended range pyrometer
event reporting standard
emergency response time
engineering specification; expert
system
electrostatic chuck
electron spectroscopy for chemical
analysis
electrostatic discharge
environment
electronic
service manual
Executive Technical
Advisory Board
External Total Quality
and Reliability
extreme ultraviolet
electron volt
exponentially
weighted moving average
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
F
F/I
FA
FAB
FAMOS
FBGA
FC
FCM
FCS
FDC
FDE
FDSOI
Fe
FEC
FEM
FEOL
FESEM
FET
FFT
FFU
FI
FIB
FID
FIFO
FIMS
FL
FLOPC
FLOTOX
FLRT
FM
FMEA
FMMC
FMVP
FNN
FOCS
FOSB
FOUP
FOV
fluorine
final inspection
failure
analysis
fast atom bombardment
floating-gate avalanche-injection
metal-oxide
semiconductor
fine-pitch ball grid array
flip chip
facilities cost
model
factory control system
fault detection and classification
frequency domain experiments
fully depleted silicon on insulator
iron
fabrication evaluation
chip
finite element model
front end of line
field
emission scanning electron microscopy
field-effect transistor
fast
Fourier transform
filter fan unit
filterability index; factory
integration
focused ion beam
flame ionization detector
first-in
front-opening
interface mechanical standard
fuzzy
logic
floating point operations needed
per cycle
floating gate tunnel oxide
factory layout/relayout tool
foreign material
failure
mode and effects analysis
factory
material movement component
Framework
Member Validation Project
feed-forward
neural network
fiber-optic chemical
sensor
front opening shipping box
front opening unified pod
field of view
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
FOX
FP
FPD
FPGA
FPLA
FPLF
FPLS
FPMS
FPROM
FRACAS
FRAME
FRMB
FSG
FSM
FT
FTA
FTAB
FTIR
FW
FWHM
FZ
Ga
GAC
GC
GCC
GCD
GCMS
GDPP
GDS
Ge
GEM
GEMVS
GES
GFC
GFCI
GIDL
GILD
GLC
GOI
field oxide
flash point
focal plane
deviation; flat panel display
field-
programmable gate array
field-
programmable logic array
field-
programmable logic family
field-
programmable logic switch
Factory
Performance Modeling Software
field-
programmable read-only memory
Failure
Reporting
Failure Rate Analysis and
Modeling
fast ramp mini batch
fused silica glass
finite
state machine
final test; Fourier
transform
fault tree analysis
Focus Technical Advisory Board
Fourier transform infrared
full wave
full-width half-
maximum
float zone
gallium
granular activated carbon
gas chromatography; gravimetric
calibrator
generic cell controller
gas chromatography distillation
gas chromatography mass spectroscopy
gas drive plasma pinch
graphical design system; graphical
design software
germanium
Generic EquipmentModel
GEM
verification system
generic equipment
simulator
gas filter correlation
ground fault circuit interrupter
gate-induced drain leakage
gas immersion laser doping
gas liquid chromatography
gate oxide integrity
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
GPIB
GSCE
GTS
H
HAP
HARI
HAST
HAZCOM
HB
HCI
HCM
HCMOS
HCS
HD
HDL
HDP
HDPE
He
HEM
HEPA
Hf
HF
Hg
HIBS
HiPOx
HLF
HMDS
HMIS
HMMP
HMOS
HOMER
HOPG
HP
HPEM
HPI
HPL
HPLC
HPM
HPV
general-
purpose interface bus
gas source
control equipment
GEM Test System
hydrogen
hazardous air
pollutant
high aspect ratio inspection
highly accelerated stress testing
Hazard Communication Standard
horizontal Bridgeman crystal
hot carrier injection
hollow
cathode magnetron
high-density CMOS
hot-carrier suppressed
high
density
hardware description language
high-density plasma
high-
density polyethylene
helium
high-efficiency matching
high-efficiency particulate air
hafnium
hydrofluoric acid
mercury
heavy ion
backscattering spectrometry
high-
pressure oxygen
horizontal laminar flow
hexamethyldisilizane
hazardous materials inventory statement
hazardous materials management plan
high-performance MOS; high-density MOS
hazardous organic mass emission rate
highly oriented pyrolitic graphite
high purity
Hybrid Plasma
Equipment Model
high pressure isolation
high-performance logic
high-
performance liquid chromatography
hazardous production materials; high-
purity metal
high-pressure vent
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
HRA
HRR
HRTEM
HSQ
HTO
HTRB
HUPW
HVAC
I
I/O
I2L
I300I
IC
ICAP
ICMS
ICP
ICP-
AES
ICP-MS
ICT
IDDQ
IDEAL
IDL
IDLH
IDS
IEA
IEC
IEDF
IERN
IF
IGFET
ILB
ILD
ILS
IM
IMD
IMMA
IMS
In
INCAMS
human
reliability analysis
high ramp rate
high-resolution transmission electron
microscopy
hydrogen silsesquioxane
high-temperature oxidation
high-temperature reverse bias
hot ultrapure water
heating
iodine
input/output
integrated injector logic
International 300 mm Initiative
integrated circuit; Investment
Council;
ion
chromatography
inductively
coupled argon-plasma spectrometry
integrated circuit measurement system
inductively coupled plasma
inductively coupled plasma atomic
emission spectroscopy
inductively
coupled plasma mass spectrometry
ideal
cycle time
direct drain quiescent
current
initiating
interface
definition language
immediately
dangerous to life or health
interactive
diagnostic system
ion energy analysis
infused emitter coupling
ion
energy distribution function
internal-
external recurrent neural network
interface
insulated-gate
field-effect transistor
inner lead bond
interlevel dielectric; interlayer
dielectric
intracavity laser
spectroscopy
integrated model;
integrated metrology
intermetal
dielectric
ion microphobe mass analysis
ion mobility spectroscopy
indium
individual cassette
manufacturing system
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
IPA
IPL
IPT
Ir
IR
IRAS
IRIS
IRN
IRONMAN
IRTC-1
IS
ISC
ISEM
ISM
ISMT
ISPM
ISR
ISS
ITRI
ITRS
IVH
IVP
JDP
JEDEC
JESSI
JIC
JIT
JJT
JVD
K
keV
KPA
Kr
kV
La
LAMMA
LAMMS
LC
isopropyl
alcohol
ion projection lithography
ideal process time
iridium
infrared
infrared
reflection-absorption spectroscopy
imaging of radicals interacting with
surfaces
internal recurrent neural
network
Improving Reliability of New
Machines at Night
interconnect
reliability test chip-1
information
systems; interface specifications; integrated
systems
Industry Steering
Council
inspection/review specific
equipment model
inductor super
magnetron
International SEMATECH
in situ particle monitor
in
situ rinse
ion scattering spectroscopy
Interconnection Technology
International Technology
interstitial via hole
integrated vacuum processing
Joint Development Program
Joint Electron Device Engineering
Council
Joint European Submicron
Silicon Initiative
Joint Industrial
Council
just-in-time
Josephson junction transistor
jet vapor deposition
potassium; thousand
kilo
electron volt
key process area
krypton
kilovolt
lanthanum
laser micro-mass
analysis
laser micro-mass spectroscopy
inductance-capacitance; liquid
chromatography
只供学习与交流
资料收集于网络,如有侵权
请联系网站删除
LCA
LCC
LCL
LDD
LDL
LDP
LDPE
LEC
LEL
LER
LF
LFL
LGQ
Li
LI
LIC
LID
LIFO
LIMA
LIMS
LLCC
LLD
LLNQ
LM
LMMA
LOCOS
LOS
LPC
LPCVD
LPD
LPE
LPI
LPP
LRS
LSE
LSHI
LSI
LSM
lifecycle
analysis
leaded chip carrier
lower confidence limit
lightly doped drain
lower
detection limit
low-density plasma
low-density polyethylene
liquid encapsulated Czochralski crystal
lower explosive limit
line
edge roughness
laminar flow
lower flammable limit
linear
Gaussian quadratic
lithium
laser interferometry
linear
integrated circuit
leadless inverted
device
last in
laser-induced
mass analysis
laser-induced mass
spectrometry
leadless chip carrier
lower limit of detection
least lots next queue
light
microscope
laser microprobe mass
analysis
local oxidation of silicon
loss of selectivity
linear
predictive coding; laser particle counter; low
particle concentration; liquid-borne
particle counter
low-pressure chemical
vapor deposition
light point defect
liquid phase epitaxy
low-
pressure isolation
laser-produced
plasma
laser Raman spectroscopy
latex sphere equivalent
large-scale hybrid integration
large-scale integration
laser scanning microscope
只供学习与交流
-undercover
-undercover
-undercover
-undercover
-undercover
-undercover
-undercover
-undercover
-
上一篇:办公室英文大汇总
下一篇:人力Outcome3